Leakage control in SoCs

[1]  W. Dehaene,et al.  A dual port dual width 90nm SRAM with guaranteed data retention at minimal standby supply voltage , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[2]  Jiajing Wang,et al.  Statistical modeling for the minimum standby supply voltage of a full SRAM array , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.

[3]  Lieven Eeckhout,et al.  Exploiting program phase behavior for energy reduction on multi-configuration processors , 2007, J. Syst. Archit..

[4]  Jiajing Wang,et al.  Techniques to Extend Canary-Based Standby $V_{DD}$ Scaling for SRAMs to 45 nm and Beyond , 2008, IEEE Journal of Solid-State Circuits.

[5]  Peter Hazucha,et al.  Characterization of soft errors caused by single event upsets in CMOS processes , 2004, IEEE Transactions on Dependable and Secure Computing.

[6]  Ying Zhang,et al.  A 4.0 GHz 291Mb voltage-scalable SRAM design in 32nm high-κ metal-gate CMOS with integrated power management , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[7]  K. Nii,et al.  A 90-nm low-power 32-kB embedded SRAM with gate leakage suppression circuit for mobile applications , 2004, IEEE Journal of Solid-State Circuits.

[8]  S. Narendra,et al.  Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-/spl mu/m CMOS , 2004, IEEE Journal of Solid-State Circuits.

[9]  Vivek De,et al.  A new technique for standby leakage reduction in high-performance circuits , 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

[10]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[11]  Mingoo Seok,et al.  Standby power reduction techniques for ultra-low power processors , 2008, ESSCIRC 2008 - 34th European Solid-State Circuits Conference.

[12]  Giovanni De Micheli,et al.  Joint hardware-software leakage minimization approach for the register file of VLIW embedded architectures , 2008, Integr..

[13]  David Blaauw,et al.  Quantitative analysis and optimization techniques for on-chip cache leakage power , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Kaushik Roy,et al.  A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[16]  Narayanan Vijaykrishnan,et al.  Characterization and modeling of run-time techniques for leakage power reduction , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Anantha Chandrakasan,et al.  Scaling of stack effect and its application for leakage reduction , 2001, ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).

[18]  Pramod Kolar,et al.  A 1.1 GHz 12 $\mu$A/Mb-Leakage SRAM Design in 65 nm Ultra-Low-Power CMOS Technology With Integrated Leakage Reduction for Mobile Applications , 2008, IEEE Journal of Solid-State Circuits.

[19]  Yong-Gee Ng,et al.  A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology , 2009, IEEE Journal of Solid-State Circuits.

[20]  Kaushik Roy,et al.  A single-Vt low-leakage gated-ground cache for deep submicron , 2003, IEEE J. Solid State Circuits.

[21]  David F. Heidel,et al.  Alpha-particle-induced upsets in advanced CMOS circuits and technology , 2008, IBM J. Res. Dev..