3-D Hyperintegration and Packaging Technologies for Micro-Nano Systems

Three-dimensional (3-D) hyperintegration is an emerging technology, which vertically stacks and interconnects multiple materials, technologies, and functional components to form highly integrated micro-nano systems. This 3-D hyperintegration is expected to lead to an industry paradigm shift due to its tremendous benefits. Worldwide academic and industrial research activities currently focus on technology innovations, simulation and design, and product prototypes. Anticipated applications start with memory, handheld devices, and high-performance computers and extend to high-density multifunctional heterogeneous integration of InfoTech-NanoTech-BioTech systems. This paper overviews the 3-D hyperintegration and packaging technologies, including motivations, key technology platforms, status, and perspectives towards commercialization. The challenges associated with the 3-D technologies are addressed, including integration architectures and design tools, yield and cost, thermal and mechanical constraints, and manufacturing infrastructure.

[1]  Payman Zarkesh-Ha,et al.  Interconnect opportunities for gigascale integration , 2002, IBM J. Res. Dev..

[2]  K. Warner,et al.  Three-dimensional integrated circuits for low-power, high-bandwidth systems on a chip , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[3]  R. Augur,et al.  Evaluation procedures for wafer bonding and thinning of interconnect test structures for 3D ICs , 2003, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695).

[4]  Anantha Chandrakasan,et al.  Wiring requirement and three-dimensional integration technology for field programmable gate arrays , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[5]  J. Joyner,et al.  Opportunities for reduced power dissipation using three-dimensional integration , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).

[6]  Jian-Qiang Lu,et al.  Low-Temperature Titanium-Based Wafer Bonding Ti ∕ Si , Ti ∕ SiO 2 , and Ti ∕ Ti , 2007 .

[7]  P. Zarkesh-Ha,et al.  A global interconnect design window for a three-dimensional system-on-a-chip , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[8]  Kinam Kim,et al.  The revolutionary and truly 3-dimensional 25F/sup 2/ SRAM technology with the smallest S/sup 3/ ( stacked single-crystal Si) cell, 0.16um/sup 2/, and SSTFT (atacked single-crystal thin film transistor) for ultra high density SRAM , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[9]  Kenneth Rose,et al.  First-order performance prediction of cache memory with wafer-level 3D integration , 2005, IEEE Design & Test of Computers.

[10]  C. Bower,et al.  High Density 3-D Integration Technology for Massively Parallel Signal Processing in Advanced Infrared Focal Plane Array Sensors , 2006, 2006 International Electron Devices Meeting.

[11]  A. Kumar,et al.  3-D INTEGRATION USING WAFER BONDING , 2000 .

[12]  裕幸 飯田,et al.  International Technology Roadmap for Semiconductors 2003の要求清浄度について - シリコンウエハ表面と雰囲気環境に要求される清浄度, 分析方法の現状について - , 2004 .

[13]  P ? ? ? ? ? ? ? % ? ? ? ? , 1991 .

[14]  P. Ramm,et al.  InterChip via technology for vertical system integration , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[15]  Sungjun Im,et al.  Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures , 2005 .

[16]  Anna W. Topol,et al.  Electrical integrity of state-of-the-art 0.13 /spl mu/m SOI CMOS devices and circuits transferred for three-dimensional (3D) integrated circuit (IC) fabrication , 2002, Digest. International Electron Devices Meeting,.

[17]  Jian-Qiang Lu,et al.  Die-on-Wafer and Wafer-Level Three-Dimensional (3D) Integration of Heterogeneous IC Technologies for RF-Microwave-Millimeter Applications , 2004 .

[18]  M. Van Hove,et al.  Performance Comparison of Interconnect Technology and Architecture Options for Deep Submicron Technology Nodes , 2006, 2006 International Interconnect Technology Conference.

[19]  Chita R. Das,et al.  A novel dimensionally-decomposed router for on-chip communication in 3D architectures , 2007, ISCA '07.

[20]  Jian Sun,et al.  3D Power Delivery for Microprocessors and High-Performance ASICs , 2007, APEC 07 - Twenty-Second Annual IEEE Applied Power Electronics Conference and Exposition.

[21]  Mitsumasa Koyanagi,et al.  Current and Future Three-Dimensional LSI Integration Technology by “chip on chip”, “chip on wafer” and “wafer on wafer” , 2006 .

[22]  Jian-Qiang Lu,et al.  Thermal Cycling Effects on Critical Adhesion Energy and Residual Stress in Benzocyclobutene-Bonded Wafers , 2005 .

[23]  Kenneth Rose,et al.  Memory performance prediction for high-performance microprocessors at deep submicrometer technologies , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  S. Burkett,et al.  Process integration for through-silicon vias , 2005 .

[25]  Kia Bazargan,et al.  Placement and routing in 3D integrated circuits , 2005, IEEE Design & Test of Computers.

[26]  Robert S. Patti,et al.  Three-Dimensional Integrated Circuits and the Future of System-on-Chip Designs , 2006, Proceedings of the IEEE.

[27]  R. Gutmann,et al.  Adhesive wafer bonding , 2006 .

[28]  K. W. Lee,et al.  Three-dimensional shared memory fabricated using wafer stacking technology , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).

[29]  J. McMahon,et al.  Via-First Inter-Wafer Vertical Interconnects utilizing Wafer-Bonding of Damascene-Patterned Metal/Adhesive Redistribution Layers , 2006 .

[30]  Jason Cong,et al.  Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[31]  J.-Q. Lu,et al.  Back-end compatibility of bonding and thinning processes for a wafer-level 3D interconnect technology platform , 2004, Proceedings of the IEEE 2004 International Interconnect Technology Conference (IEEE Cat. No.04TH8729).

[32]  Kinam Kim,et al.  Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30nm Node , 2006, 2006 International Electron Devices Meeting.

[33]  Peter Ramm,et al.  3D Integration of CMOS transistors with ICV-SLID technology , 2005 .

[34]  S. Ramanathan,et al.  Three-dimensional wafer stacking via Cu-Cu bonding integrated with 65-nm strained-Si/low-k CMOS technology , 2006, IEEE Electron Device Letters.

[35]  B. Kleveland,et al.  512 Mb PROM with 8 layers of antifuse/diode cells , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[36]  Jian-Qiang Lu,et al.  Modeling Thermal Stresses in 3-D IC Interwafer Interconnects , 2006, IEEE Transactions on Semiconductor Manufacturing.

[37]  K. Ishibashi,et al.  High Density PoP (Package-on-Package) and Package Stacking Development , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.

[38]  Irwin L. Kellner TURN DOWN THE HEAT , 1995 .

[39]  Martin Burtscher,et al.  Bridging the processor-memory performance gap with 3D IC technology , 2005, IEEE Design & Test of Computers.

[40]  S. Tam,et al.  A 65-nm Dual-Core Multithreaded Xeon® Processor With 16-MB L3 Cache , 2007, IEEE Journal of Solid-State Circuits.

[41]  Jian-Qiang Lu,et al.  Critical Adhesion Energy of Benzocyclobutene-Bonded Wafers , 2006 .

[42]  G. G. Stokes "J." , 1890, The New Yale Book of Quotations.

[43]  Seung Wook Yoon,et al.  3D eWLB (embedded wafer level BGA) technology for 3D-packaging/3D-SiP (Systems-in-Package) applications , 2009, 2009 11th Electronics Packaging Technology Conference.

[44]  Kuan-Neng Chen,et al.  Microstructure evolution and abnormal grain growth during copper wafer bonding , 2002 .

[45]  Eric Beyne,et al.  Recent Advances in 3D Integration at IMEC , 2006 .

[46]  P. Andry,et al.  System-on-package (SOP) technology, characterization and applications , 2006, 56th Electronic Components and Technology Conference 2006.

[47]  Bryan Black,et al.  3D processing technology and its impact on iA32 microprocessors , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[48]  Jian-Qiang Lu,et al.  Exploration of the Scaling Limits of 3D Integration , 2006 .

[49]  Krishna C. Saraswat,et al.  High-performance germanium-seeded laterally crystallized TFTs for vertical device integration , 1998 .

[50]  J.A. Davis,et al.  Interconnecting device opportunities for gigascale integration (GSI) , 2001, International Electron Devices Meeting. Technical Digest (Cat. No.01CH37224).

[51]  Anna W. Topol,et al.  Structure, Design and Process Control for Cu Bonded Interconnects in 3D Integrated Circuits , 2006, 2006 International Electron Devices Meeting.

[52]  Gabriel H. Loh,et al.  The impact of 3-dimensional integration on the design of arithmetic units , 2006, 2006 IEEE International Symposium on Circuits and Systems.

[53]  Jian-Qiang Lu,et al.  Wafer-Level Three-Dimensional Hyper-Integration Technology Using Dielectric Adhesive Wafer Bonding , 2005 .

[54]  Russell P. Kraft,et al.  Stacked chip-to-chip interconnections using wafer bonding technology with dielectric bonding glues , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).

[55]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[56]  Chang-Gyu Hwang New Paradigms in the Silicon Industry , 2006, 2006 International Electron Devices Meeting.

[57]  Jian-Qiang Lu,et al.  Low-temperature silicon wafer bonding based on Ti∕Si solid-state amorphization , 2006 .

[58]  Kaustav Banerjee,et al.  3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration , 2001, Proc. IEEE.

[59]  S. Tam,et al.  A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[60]  Jian-Qiang Lu,et al.  Fine Keyed Alignment and Bonding for Wafer-Level 3D ICs , 2006 .

[61]  Nisha Checka,et al.  Technology, performance, and computer-aided design of three-dimensional integrated circuits , 2004, ISPD '04.

[62]  Jason Cong,et al.  Thermal-Aware 3D IC Placement Via Transformation , 2007, 2007 Asia and South Pacific Design Automation Conference.

[63]  J.-Q. Lu,et al.  Wafer bonding of damascene-patterned metal/adhesive redistribution layers for via-first three-dimensional (3D) interconnect , 2005, Proceedings Electronic Components and Technology, 2005. ECTC '05..

[64]  C.K. Chen,et al.  A wafer-scale 3-D circuit integration technology , 2006, IEEE Transactions on Electron Devices.

[65]  E. Beyne,et al.  3D integration by Cu-Cu thermo-compression bonding of extremely thinned bulk-Si die containing 10 μm pitch through-Si vias , 2006, 2006 International Electron Devices Meeting.

[66]  Bioh Kim Through-Silicon-Via Copper Deposition for Vertical Chip Integration , 2006 .

[67]  Barbara Charlet 3-D Integration Latest Developments at LETI , 2006 .

[68]  J.D. Meindl,et al.  Integrated thermal-fluidic I/O interconnects for an on-chip microchannel heat sink , 2006, IEEE Electron Device Letters.

[69]  Yuan Xie,et al.  Design space exploration for 3D architectures , 2006, JETC.

[70]  P. Ramm,et al.  Vertical System Integration by Using Inter-Chip Vias and Solid-Liquid Interdiffusion Bonding , 2004 .

[71]  G. I. Kustova,et al.  From the author , 2019, Automatic Documentation and Mathematical Linguistics.

[72]  Jian-Qiang Lu,et al.  Fundamental Limits for 3D Wafer-to-Wafer Alignment Accuracy , 2004 .

[73]  R.J.Gutmann,et al.  Wafer-Level Three-Dimensional Monolithic Integration for Intelligent Wireless Terminals , 2004 .

[74]  Paul Lindner,et al.  3D Process Integration – Wafer-to-Wafer and Chip-to-Wafer Bonding , 2006 .

[75]  J. Lu,et al.  A wafer-scale 3D IC technology platform using dielectric bonding glues and copper damascene patterned inter-wafer interconnects , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).

[76]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.

[77]  Lei Jiang,et al.  Die Stacking (3D) Microarchitecture , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).