Low-power design methodology and applications utilizing dual supply voltages

This paper describes a gate-level power minimization methodology using dual supply voltages. Gates and flip-flops off the critical paths are made to operate at the reduced supply voltage to save power. Core technologies are dual-V/sub DD/ circuit synthesis and P&R. We give a brief overview on existing low-power EDA technologies as background and discuss advantages and challenges of the dual-V/sub DD/ approach. Through real design examples, we will show that the approach reduces power effectively while keeping the performance at negligible area overhead.

[1]  Massoud Pedram,et al.  Energy Minimization Using Multiple Supply Voltages , 1997, ISLPED.

[2]  Massoud Pedram,et al.  PCUBE: A performance driven placement algorithm for low power designs , 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

[3]  Kimiyoshi Usami,et al.  Low-power design technique for ASICs by partially reducing supply voltage , 1996, Proceedings Ninth Annual IEEE International ASIC Conference and Exhibit.

[4]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[5]  Tadahiro Kuroda,et al.  A high-speed low-power 0.3 /spl mu/m CMOS gate array with variable threshold voltage (VT) scheme , 1996, Proceedings of Custom Integrated Circuits Conference.

[6]  Jason Cong,et al.  Simultaneous driver and wire sizing for performance and power optimization , 1994, ICCAD.

[7]  Chingwei Yeh,et al.  Layout techniques supporting the use of dual supply voltages for cell-based designs , 1999, DAC '99.

[8]  Jordi Cortadella,et al.  High-level synthesis techniques for reducing the activity of functional units , 1995, ISLPED '95.

[9]  Takashi Ishikawa,et al.  Automated low-power technique exploiting multiple supply voltages applied to a media processor , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[10]  H. Arakida,et al.  A top-down low power design technique using clustered voltage scaling with variable supply-voltage scheme , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[11]  Luca Benini,et al.  Dynamic Power Management , 1998 .

[12]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[13]  Takashi Ishikawa,et al.  Design methodology of ultra low-power MPEG4 codec core exploiting voltage scaling techniques , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[14]  Jason Cong,et al.  Simultaneous driver and wire sizing for performance and power optimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[15]  Mark C. Johnson,et al.  Optimal selection of supply voltages and level conversions during data path scheduling under resource constraints , 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

[16]  Mark Horowitz,et al.  Clustered voltage scaling technique for low-power design , 1995, ISLPED '95.

[17]  Chi-Ying Tsui,et al.  Technology Decomposition and Mapping Targeting Low Power Dissipation , 1993, 30th ACM/IEEE Design Automation Conference.

[18]  Enrico Macii,et al.  A symbolic method to reduce power consumption of circuits containing false paths , 1994, ICCAD '94.

[19]  Takashi Ishikawa,et al.  A low-power design method using multiple supply voltages , 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.