Differential Fault Injection on Microarchitectural Simulators
暂无分享,去创建一个
Dimitris Gizopoulos | Nikos Foutris | Athanasios Chatzidimitriou | Manolis Kaliorakis | Sotiris Tselonis | D. Gizopoulos | Sotiris Tselonis | N. Foutris | Athanasios Chatzidimitriou | Manolis Kaliorakis
[1] Pia Sanda,et al. Statistical Fault Injection , 2008, 2008 IEEE International Conference on Dependable Systems and Networks With FTCS and DCC (DSN).
[2] Shunfei Chen,et al. MARSS: A full system simulator for multicore x86 CPUs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[3] J. Fortes,et al. Sim-SODA : A Unified Framework for Architectural Level Software Reliability Analysis , 2006 .
[4] John Lach,et al. Transient fault models and AVF estimation revisited , 2010, 2010 IEEE/IFIP International Conference on Dependable Systems & Networks (DSN).
[5] Sanjay J. Patel,et al. Examining ACE analysis reliability estimates using fault-injection , 2007, ISCA '07.
[6] Sarita V. Adve,et al. Accurate microarchitecture-level fault modeling for studying hardware faults , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[7] Dimitris Gizopoulos,et al. Versatile architecture-level fault injection framework for reliability evaluation: A first report , 2014, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS).
[8] Jie Liu,et al. Characterizing Application Memory Error Vulnerability to Optimize Datacenter Cost via Heterogeneous-Reliability Memory , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[9] Yu Cao,et al. A resilience roadmap , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[10] Fredrik Larsson,et al. Simics: A Full System Simulation Platform , 2002, Computer.
[11] Thomas F. Wenisch,et al. SimFlex: Statistical Sampling of Computer System Simulation , 2006, IEEE Micro.
[12] Arijit Biswas,et al. Computing architectural vulnerability factors for address-based structures , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[13] Scott A. Mahlke,et al. Harnessing Soft Computations for Low-Budget Fault Tolerance , 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
[14] Wei Wu,et al. Improving cache lifetime reliability at ultra-low voltages , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[15] Sarita V. Adve,et al. Understanding the propagation of hard errors to software and implications for resilient system design , 2008, ASPLOS.
[16] B. Jacob,et al. AN INTEgRATED SIMulATIoN INfRASTRuCTuRE foR THE ENTIRE MEMoRy HIERARCHy: CACHE, DRAM, NoNVolATIlE MEMoRy, AND DISk , 2013 .
[17] Amin Ansari,et al. Shoestring: probabilistic soft error reliability on the cheap , 2010, ASPLOS XV.
[18] Jacob A. Abraham,et al. Quantitative evaluation of soft error injection techniques for robust system design , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[19] Régis Leveugle,et al. Statistical fault injection: Quantified error and confidence , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[20] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[21] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[22] Sudhanva Gurumurthi,et al. Dynamic prediction of architectural vulnerability from microarchitectural state , 2007, ISCA '07.
[23] Andreas Gerstlauer,et al. Host-Compiled Reliability Modeling for Fast Estimation of Architectural Vulnerabilities , 2015 .
[24] Ronald G. Dreslinski,et al. The M5 Simulator: Modeling Networked Systems , 2006, IEEE Micro.
[25] Christos D. Antonopoulos,et al. GemFI: A Fault Injection Tool for Studying the Behavior of Applications on Unreliable Substrates , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[26] Sanjay J. Patel,et al. Characterizing the effects of transient faults on a high-performance processor pipeline , 2004, International Conference on Dependable Systems and Networks, 2004.
[27] Mehdi Baradaran Tahoori,et al. Balancing Performance and Reliability in the Memory Hierarchy , 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..
[28] Stijn Eyerman,et al. A first-order mechanistic model for architectural vulnerability factor , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[29] Alfredo Benso,et al. Statistical Reliability Estimation of Microprocessor-Based Systems , 2012, IEEE Transactions on Computers.
[30] Michail Maniatakos,et al. Instruction-Level Impact Analysis of Low-Level Faults in a Modern Microprocessor Controller , 2011, IEEE Transactions on Computers.
[31] Robert Baumann,et al. Soft errors in advanced computer systems , 2005, IEEE Design & Test of Computers.
[32] Matt T. Yourst. PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.
[33] Ravishankar K. Iyer,et al. An experimental study of soft errors in microprocessors , 2005, IEEE Micro.
[34] Arun K. Somani,et al. Soft error sensitivity characterization for microprocessor dependability enhancement strategy , 2002, Proceedings International Conference on Dependable Systems and Networks.
[35] Amin Ansari,et al. Shoestring: probabilistic soft error reliability on the cheap , 2010, ASPLOS 2010.
[36] Shubhendu S. Mukherjee,et al. Perturbation-based Fault Screening , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.
[37] Qiang Xu,et al. AgeSim: A simulation framework for evaluating the lifetime reliability of processor-based SoCs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[38] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[39] David R. Kaeli,et al. Using hardware vulnerability factors to enhance AVF analysis , 2010, ISCA.
[40] Michel Dubois,et al. MACAU: A Markov model for reliability evaluations of caches under Single-bit and Multi-bit Upsets , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[41] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[42] Todd M. Austin,et al. CrashTest: A fast high-fidelity FPGA-based resiliency analysis framework , 2008, 2008 IEEE International Conference on Computer Design.
[43] Ronald G. Dreslinski,et al. Sources of error in full-system simulation , 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[44] Todd M. Austin,et al. A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor , 2003, MICRO.
[45] Bin Li,et al. Versatile prediction and fast estimation of Architectural Vulnerability Factor from processor performance metrics , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[46] Xiaodong Li,et al. Architecture-Level Soft Error Analysis: Examining the Limits of Common Assumptions , 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).
[47] Karthikeyan Sankaralingam,et al. Understanding the impact of gate-level physical reliability effects on whole program execution , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[48] Sule Ozev,et al. Applying architectural vulnerability Analysis to hard faults in the microprocessor , 2006, SIGMETRICS '06/Performance '06.
[49] David R. Kaeli,et al. Eliminating microarchitectural dependency from Architectural Vulnerability , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[50] Joel Emer,et al. Computing Architectural Vulnerability Factors for Address-Based Structures , 2005, ISCA 2005.
[51] Cristian Constantinescu,et al. Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.
[52] Yu Hu,et al. IVF: Characterizing the vulnerability of microprocessor structures to intermittent faults , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[53] Lieven Eeckhout,et al. AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[54] Mateo Valero,et al. FIMSIM: A fault injection infrastructure for microarchitectural simulators , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).