CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks
暂无分享,去创建一个
Hannu Tenhunen | Pasi Liljeberg | Masoud Daneshtalab | Juha Plosila | Masoumeh Ebrahimi | H. Tenhunen | P. Liljeberg | J. Plosila | M. Ebrahimi | M. Daneshtalab
[1] Natalie D. Enright Jerger,et al. DBAR: An efficient routing algorithm to support multiple concurrent applications in networks-on-chip , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[2] David A. Wood,et al. Managing Wire Delay in Large Chip-Multiprocessor Caches , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[3] William J. Dally,et al. Globally Adaptive Load-Balanced Routing on Tori , 2004, IEEE Computer Architecture Letters.
[4] Milo M. K. Martin,et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.
[5] Hannu Tenhunen,et al. A Low-Latency and Memory-Efficient On-chip Network , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[6] Masoud Daneshtalab,et al. BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs , 2008, 2008 Design, Automation and Test in Europe.
[7] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[8] Bashir M. Al-Hashimi,et al. Improving routing efficiency for network-on-chip through contention-aware input selection , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[9] Stephen W. Keckler,et al. Regional congestion awareness for load balance in networks-on-chip , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.
[10] Masoud Daneshtalab,et al. EDXY - A low cost congestion-aware routing algorithm for network-on-chips , 2010, J. Syst. Archit..
[11] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[12] William J. Dally,et al. GOAL: a load-balanced adaptive routing algorithm for torus networks , 2003, ISCA '03.
[13] Ge-Ming Chiu,et al. The Odd-Even Turn Model for Adaptive Routing , 2000, IEEE Trans. Parallel Distributed Syst..
[14] Ming Li,et al. DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[15] Radu Marculescu,et al. DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..
[16] Kunle Olukotun,et al. Niagara: a 32-way multithreaded Sparc processor , 2005, IEEE Micro.
[17] Vincenzo Catania,et al. Implementation and Analysis of a New Selection Strategy for Adaptive Routing in Networks-on-Chip , 2008, IEEE Transactions on Computers.
[18] Masoud Daneshtalab,et al. BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs to Avoid Congestion , 2008 .