Optimum and heuristic data path scheduling under resource constraints

This paper presents an integer linear programming model for the scheduling problem in high level synthesis under resource constraints. Extensive consideration is given to the following applications: <list><item>Multi-cycle operations with <list><item>non-pipelined function units, </item><item>pipelined function units; </item></list></item><item>Mutually exclusive operations; </item><item>Functional pipelining; </item><item>Loop folding; </item><item>Scheduling under bus constraint. </item></list>Using this model, we are able to solve all the benchmarks in the literature optimally in a few seconds. Besides the model, a new technique, called <italic>Zone Scheduling (ZS)</italic>, is proposed to solve large size problems. ZS partitions the distribution graph into several zones and solves sequentially the problems contained. A novel feature of this technique is that it schedules more than one control step at a time, allowing us to take a more global view of a scheduling problem.

[1]  Youn-Long Lin,et al.  A new integer linear programming formulation for the scheduling problem in data path synthesis , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[2]  T. Kailath,et al.  VLSI and Modern Signal Processing , 1984 .

[3]  Albert E. Casavant,et al.  Scheduling and hardware sharing in pipelined data paths , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[4]  Pierre G. Paulin,et al.  Force-Directed Scheduling in Automatic Data Path Synthesis , 1987, 24th ACM/IEEE Design Automation Conference.

[5]  Alice C. Parker,et al.  Sehwa: a software package for synthesis of pipelines from behavioral specifications , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[6]  Joos Vandewalle,et al.  Loop Optimization in Register-Transfer Scheduling for DSP-Systems , 1989, 26th ACM/IEEE Design Automation Conference.

[7]  Alice C. Parker,et al.  A Formal Method for the Specification, Analysis, and Design of Register-Transfer Level Digital Logic , 1983, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  E. M. Girczyc,et al.  Automatic generation of microsequenced data paths to realize ada circuit descriptions , 1984 .

[9]  Mohamed I. Elmasry,et al.  Architectural synthesis for DSP silicon compilers , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Pierre G. Paulin,et al.  Scheduling and Binding Algorithms for High-Level Synthesis , 1989, 26th ACM/IEEE Design Automation Conference.

[11]  P. Six,et al.  Cathedral-II: A Silicon Compiler for Digital Signal Processing , 1986, IEEE Design & Test of Computers.

[12]  Martin Vetterli VLSI and modern signal processing: edited by S.Y. Kung, H.J. Whitehouse and T. Kailath, in: Information and System Sciences Series, published by: Prentice-Hall, Inc., Englewood Cliffs, NJ 07632, U.S.A., 1985, xiii+481 pp., ISBN 0-13-942699-X , 1987 .

[13]  Donald E. Thomas,et al.  A Method of Automatic Data Path Synthesis , 1983, 20th Design Automation Conference Proceedings.

[14]  E. F. Girczyc,et al.  Loop winding--a data flow approach to functional pipelining , 1987 .