Power and Thermal Analysis of Commercial Mobile Platforms: Experiments and Case Studies

State-of-the-art mobile processors can deliver fast response time and high throughput to maximize the user experience. However, high performance comes at the expense of larger power density, which leads to higher skin temperatures. Since this can degrade the user experience, there is a strong need for power consumption and thermal analysis in mobile processors. In this paper, we first perform experiments on the Nexus 6P phone to study the power, performance and thermal behavior of modern smartphones. Using the insight from these experiments, we propose a control algorithm that throttles select applications without affecting other apps. We demonstrate our governor on the Exynos 5422 processor employed in the Odroid-XU3 board.

[1]  Tajana Simunic,et al.  Ambient variation-tolerant and inter components aware thermal management for mobile system on chips , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Ümit Y. Ogras,et al.  A generic energy optimization framework for heterogeneous platforms using scaling models , 2016, Microprocess. Microsystems.

[3]  Heba Khdr,et al.  TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[4]  Oguz Ergin,et al.  User-specific skin temperature-aware DVFS for smartphones , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[5]  Lei He,et al.  Coupled Power and Thermal Simulation with Active Cooling , 2003, PACS.

[6]  Partha Pratim Pande,et al.  Design and Optimization of Heterogeneous Manycore Systems Enabled by Emerging Interconnect Technologies: Promises and Challenges , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[7]  Onur Sahin,et al.  QScale: Thermally-efficient QoS management on heterogeneous mobile platforms , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Ümit Y. Ogras,et al.  Power-Temperature Stability and Safety Analysis for Multiprocessor Systems , 2017, ACM Trans. Embed. Comput. Syst..

[9]  Ragunathan Rajkumar,et al.  Critical power slope: understanding the runtime effects of frequency scaling , 2002, ICS '02.

[10]  Muhammad Shafique,et al.  Improving mobile gaming performance through cooperative CPU-GPU thermal management , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[11]  Sherief Reda,et al.  Thermal prediction and adaptive control through workload phase detection , 2013, TODE.

[12]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[13]  Umit Y. Ogras,et al.  Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads , 2018, IEEE Transactions on Multi-Scale Computing Systems.

[14]  Li Shang,et al.  Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors , 2007, IEEE Micro.

[15]  Vanchinathan Venkataramani,et al.  Hierarchical power management for asymmetric multi-core in dark silicon era , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  Onur Sahin,et al.  On the Impacts of Greedy Thermal Management in Mobile Devices , 2015, IEEE Embedded Systems Letters.

[17]  Carole-Jean Wu,et al.  STEAM: A Smart Temperature and Energy Aware Multicore Controller , 2014, TECS.

[18]  Marios C. Papaefthymiou,et al.  Computational sprinting , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[19]  Lothar Thiele,et al.  Maestro: Autonomous QoS Management for Mobile Applications Under Thermal Constraints , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  Trevor Mudge,et al.  MiBench: A free, commercially representative embedded benchmark suite , 2001 .

[21]  Muhammad Shafique,et al.  The EDA challenges in the dark silicon era , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[22]  Tulika Mitra,et al.  OPTiC: Optimizing Collaborative CPU–GPU Computing on Mobile Devices With Thermal Constraints , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Heba Khdr,et al.  Smart Thermal Management for Heterogeneous Multicores , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[24]  Umit Y. Ogras,et al.  Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[25]  Seokjun Lee,et al.  Accurate prediction of smartphones' skin temperature by considering exothermic components , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).