Algorithms for Power Aware Testing of NanometerDigital ICs

At-speed testing of deep-submicron digital very large scale integrated (VLSI) circuits has become mandatory to catch small delay defects. Now, due to continuous shrinking of complementary metal oxide semiconductor (CMOS) transistor feature size, power density grows geometrically with technology scaling. Additionally, power dissipation inside a digital circuit during the testing phase (for test vectors under all fault models (Potluri, 2015)) is several times higher than its power dissipation during the normal functional phase of operation. Due to this, the currents that flow in the power grid during the testing phase, are much higher than what the power grid is designed for (the functional phase of operation). As a result, during at-speed testing, the supply grid experiences unacceptable supply IR-drop, ultimately leading to delay failures during at-speed testing. Since these failures are specific to testing and do not occur during functional phase of operation of the chip, these failures are usually referred to false failures, and they reduce the yield of the chip, which is undesirable. In nanometer regime, process parameter variations has become a major problem. Due to the variation in signalling delays caused by these variations, it is important to perform at-speed testing even for stuck faults, to reduce the test escapes (McCluskey and Tseng, 2000; Vorisek et al., 2004). In this context, the problem of excessive peak power dissipation causing false failures, that was addressed previously in the context of at-speed transition fault testing (Saxena et al., 2003; Devanathan et al., 2007a,b,c), also becomes prominent in the context of at-speed testing of stuck faults (Maxwell et al., 1996; McCluskey and Tseng, 2000; Vorisek et al., 2004; Prabhu and Abraham, 2012; Potluri, 2015; Potluri et al., 2015). It is well known that excessive supply IR-drop during at-speed testing can be kept under control by minimizing switching activity during testing (Saxena et al., 2003). There is a rich collection of techniques proposed in the past for reduction of peak switching activity during at-speed testing of transition/delay faults ii in both combinational and sequential circuits. As far as at-speed testing of stuck faults are concerned, while there were some techniques proposed in the past for combinational circuits (Girard et al., 1998; Dabholkar et al., 1998), there are no techniques concerning the same for sequential circuits. This thesis addresses this open problem. We propose algorithms for minimization of peak switching activity during at-speed testing of stuck faults in sequential digital circuits under the combinational state preservation scan (CSP-scan) architecture (Potluri, 2015; Potluri et al., 2015). First, we show that, under this CSP-scan architecture, when the test set is completely specified, the peak switching activity during testing can be minimized by solving the Bottleneck Traveling Salesman Problem (BTSP). This mapping of peak test switching activity minimization problem to BTSP is novel, and proposed for the first time in the literature. Usually, as circuit size increases, the percentage of don’t cares in the test set increases. As a result, test vector ordering for any arbitrary filling of don’t care bits is insufficient for producing effective reduction in switching activity during testing of large circuits. Since don’t cares dominate the test sets for larger circuits, don’t care filling plays a crucial role in reducing switching activity during testing. Taking this into consideration, we propose an algorithm, XStat, which is capable of performing test vector ordering while preserving don’t care bits in the test vectors, following which, the don’t cares are filled in an intelligent fashion for minimizing input switching activity, which effectively minimizes switching activity inside the circuit (Girard et al., 1998). Through empirical validation on benchmark circuits, we show that XStat minimizes peak switching activity significantly, during testing. Although XStat is a very powerful heuristic for minimizing peak input-switchingactivity, it will not guarantee optimality. To address this issue, we propose an algorithm that uses Dynamic Programming to calculate the lower bound for a given sequence of test vectors, and subsequently uses a greedy strategy for filling don’t cares in this sequence to achieve this lower bound, thereby guaranteeing optimality. This algorithm, which we refer to as DP-fill in this thesis, provides the globally optimal solution for minimizing peak input-switching-activity and also is the best known in the literature for minimizing peak input-switching-activity during testing. The proof of optimality of DP-fill in minimizing peak input-switching-activity is also provided in this thesis.

[1]  Abraham P. Punnen,et al.  An efficient heuristic algorithm for the bottleneck traveling salesman problem , 2009 .

[2]  Wei Huang,et al.  HotSpot—A Chip and Package Compact Thermal Modeling Methodology for VLSI Design , 2007 .

[3]  Nur A. Touba,et al.  Static compaction techniques to control scan vector power dissipation , 2000, Proceedings 18th IEEE VLSI Test Symposium.

[4]  Uri C. Weiser,et al.  Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.

[5]  Adit D. Singh,et al.  Modified Scan Flip-Flop for Low Power Testing , 2010, 2010 19th IEEE Asian Test Symposium.

[6]  Jacob Savir,et al.  Random Pattern Testability of Delay Faults , 1988, IEEE Trans. Computers.

[7]  V. Dabholkar,et al.  Minimizing Power Dissipation in Combinational Circuits DuringTest Application , 1994 .

[8]  James B. Angell,et al.  Enhancing Testability of Large-Scale Integrated Circuits via Test Points and Additional Logic , 1973, IEEE Transactions on Computers.

[9]  Nagisa Ishiura,et al.  Fault simulation for multiple faults by Boolean function manipulation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[10]  Arnaud Virazel,et al.  Design of routing-constrained low power scan chains , 2004 .

[11]  Xiaoqing Wen,et al.  Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[12]  Janusz Rajski,et al.  Test Power Reduction by Blocking Scan Cell Outputs , 2008, 2008 17th Asian Test Symposium.

[13]  Parameswaran Ramanathan,et al.  Power and Thermal Constrained Test Scheduling Under Deep Submicron Technologies , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Xiaoling Sun,et al.  Toggle-masking for test-per-scan VLSI circuits , 2004 .

[15]  Sheng Zhang,et al.  Double-tree scan: a novel low-power scan-path architecture , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[16]  Pankaj Pant,et al.  Lessons from at-speed scan deployment on an Intel® Itanium® microprocessor , 2010, 2010 IEEE International Test Conference.

[17]  Santanu Chattopadhyay,et al.  Efficient Don't Care Filling for Power Reduction during Testing , 2009, 2009 International Conference on Advances in Recent Technologies in Communication and Computing.

[18]  B.I. Dervisoglu,et al.  DESIGN FOR TESTABILITY USING SCANPATH TECHNIQUES FOR PATH-DELAY TEST AND MEASUREMENT , 1991, 1991, Proceedings. International Test Conference.

[19]  V. Kamakoti,et al.  Interconnect Aware Test Power Reduction , 2012, J. Low Power Electron..

[20]  Serge Pravossoudovitch,et al.  Reducing power consumption during test application by test vector ordering , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).

[21]  Shi-Yu Huang,et al.  QC-Fill: Quick-and-Cool X-Filling for Multicasting-Based Scan Test , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Vishwani D. Agrawal,et al.  Simulation of at-speed tests for stuck-at faults , 1995, Proceedings 13th IEEE VLSI Test Symposium.

[23]  Yashwant K. Malaiya,et al.  Testing for Timing Faults in Synchronous Sequential Integrated Circuits , 1983, International Test Conference.

[24]  A. Arulmurugan,et al.  Survey of low power testing of VLSI circuits , 2012, 2012 International Conference on Computer Communication and Informatics.

[25]  Shekhar Y. Borkar,et al.  Design challenges of technology scaling , 1999, IEEE Micro.

[26]  Kohei Miyase,et al.  XID: Don't care identification of test patterns for combinational circuits , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  N. Biggs THE TRAVELING SALESMAN PROBLEM A Guided Tour of Combinatorial Optimization , 1986 .

[28]  Irith Pomeranz,et al.  Techniques for minimizing power dissipation in scan and combinational circuits during test application , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Hermann Fischer,et al.  At-speed testing of SOC ICs , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[30]  Xiao Liu ATPG and DFT Algorithms for Delay Fault Testing , 2004 .

[31]  V. Kamakoti,et al.  DFT Assisted Techniques for Peak Launch-to-Capture Power Reduction during Launch-On-Shift At-Speed Testing , 2015, ACM Trans. Design Autom. Electr. Syst..

[32]  Sanjay Pant,et al.  Design and Analysis of Power Distribution Networks in VLSI Circuits. , 2008 .

[33]  Adit D. Singh,et al.  Delay Test Scan Flip-Flop: DFT for High Coverage Delay Testing , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[34]  Yu Hu,et al.  X-Filling for Simultaneous Shift- and Capture-Power Reduction in At-Speed Scan-Based Testing , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[35]  Abraham P. Punnen,et al.  A Fast and Simple Algorithm for the Bottleneck Biconnected Spanning Subgraph Problem , 1994, Inf. Process. Lett..

[36]  Abraham P. Punnen,et al.  Experimental analysis of heuristics for the bottleneck traveling salesman problem , 2012, J. Heuristics.

[37]  Irith Pomeranz,et al.  Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs , 2006, 2006 IEEE International Test Conference.

[38]  Robert C. Aitken,et al.  IDDQ and AC scan: the war against unmodelled defects , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[39]  Patrick Girard,et al.  Circuit partitioning for low power BIST design with minimized peak power consumption , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

[40]  Kaushik Roy,et al.  Low-power scan design using first-level supply gating , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  Patrick Girard,et al.  Power driven chaining of flip-flops in scan architectures , 2002, Proceedings. International Test Conference.

[42]  Mark Mohammad Tehranipoor,et al.  Efficient Pattern Generation for Small-Delay Defects Using Selection of Critical Faults , 2013, J. Electron. Test..

[43]  Mark Mohammad Tehranipoor,et al.  Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay Defects , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[44]  Nur A. Touba,et al.  Controlling peak power during scan testing , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[45]  Steve Furber,et al.  Principles of Asynchronous Circuit Design: A Systems Perspective , 2010 .

[46]  Mark Mohammad Tehranipoor,et al.  Generation of Effective 1-Detect TDF Patterns for Detecting Small-Delay Defects , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[47]  Michael H. Schulz,et al.  SOCRATES: a highly efficient automatic test pattern generation system , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[48]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[49]  Mark Mohammad Tehranipoor,et al.  Timing-based delay test for screening small delay defects , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[50]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[51]  Basil. Vandegriend,et al.  Finding Hamiltonian cycles: algorithms, graphs and performance , 1998 .

[52]  K. Steinhubl Design of Ion-Implanted MOSFET'S with Very Small Physical Dimensions , 1974 .

[53]  Dhananjay S. Phatak,et al.  Defect Simulation Methodology for iDDT Testing , 2006, J. Electron. Test..

[54]  Edward J. McCluskey,et al.  Stuck-fault tests vs. actual defects , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[55]  Irith Pomeranz,et al.  ROTCO: a reverse order test compaction technique , 1992, Proceedings Euro ASIC '92.

[56]  Vishwani D. Agrawal,et al.  Robust testing for stuck-at faults , 1995, Proceedings of the 8th International Conference on VLSI Design.

[57]  Jiun-Lang Huang,et al.  LPTest: a Flexible Low-Power Test Pattern Generator , 2009, J. Electron. Test..

[58]  Jacob A. Abraham,et al.  Functional test generation for hard to detect stuck-at faults using RTL model checking , 2012, 2012 17th IEEE European Test Symposium (ETS).

[59]  V. Kamakoti,et al.  Test Power Reduction Using Integrated Scan Cell and Test Vector Reordering Techniques on Linear Scan and Double Tree Scan Architectures , 2009, J. Low Power Electron..

[60]  Santanu Chattopadhyay,et al.  Customizing pattern set for test power reduction via improved X-identification and reordering , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[61]  R. G. Parker,et al.  Guaranteed performance heuristics for the bottleneck travelling salesman problem , 1984 .

[62]  Dr,et al.  A Modified ScanD Flip-flop Design to Reduce Test Power . , 2008 .

[63]  L. Whetsel,et al.  An analysis of power reduction techniques in scan testing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[64]  L. Dilillo,et al.  Power reduction through X-filling of transition fault test vectors for LOS testing , 2011, 2011 6th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

[65]  Xianlong Hong,et al.  IPOSA: A Novel Slack Distribution Algorithm for Interconnect Power Optimization , 2008, ISQED 2008.

[67]  Alberto Bosio,et al.  An Exact and Efficient Critical Path Tracing Algorithm , 2010, 2010 Fifth IEEE International Symposium on Electronic Design, Test & Applications.

[68]  Mark Mohammad Tehranipoor,et al.  Test-Pattern Selection for Screening Small-Delay Defects in Very-Deep Submicrometer Integrated Circuits , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[69]  Enamul Amyeen,et al.  An experimental study of N-detect scan ATPG patterns on a processor , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[70]  ProblemGurmeet Singh Manku A Linear Time Algorithm for the Bottleneck Biconnected SpanningSubgraph , 1996 .

[71]  Kuen-Jong Lee,et al.  Peak-power reduction for multiple-scan circuits during test application , 2000, Proceedings of the Ninth Asian Test Symposium.

[72]  Dimitris Nikolos,et al.  An efficient test vector ordering method for low power testing , 2004, IEEE Computer Society Annual Symposium on VLSI.

[73]  Irith Pomeranz,et al.  On static test compaction and test pattern ordering for scan designs , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[74]  Jacob A. Abraham,et al.  Tri-scan: a novel DFT technique for CMOS path delay fault testing , 2004, 2004 International Conferce on Test.

[75]  Kaushik Roy,et al.  A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application , 2005, Design, Automation and Test in Europe.