Exploiting Non-Uniform Memory Access Patterns Through Bitline Segmentation
暂无分享,去创建一个
R. Rao | J. Wenck | D. Franklin | R. Amirtharajah | V. Akella | Luis Obispo
[1] Norman P. Jouppi,et al. CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.
[2] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[3] Kanad Ghose,et al. Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[4] M.A. Horowitz,et al. Speed and power scaling of SRAM's , 2000, IEEE Journal of Solid-State Circuits.
[5] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[6] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[7] P. Mahoney,et al. The 16 kB single-cycle read access cache on a next-generation 64 b Itanium microprocessor , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[8] T. Mudge,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[9] Doug Burger,et al. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches , 2002, ASPLOS X.
[10] Se-Hyun Yang,et al. Near-optimal precharging in high-performance nanoscale CMOS caches , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[11] T. N. Vijaykumar,et al. Distance associativity for high-performance energy-efficient non-uniform cache architectures , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[12] Nikil Dutt,et al. An Enhanced Power Estimation Model for On-Chip Caches , 2004 .
[13] Babak Falsafi,et al. Accurate and complexity-effective spatial pattern prediction , 2004, 10th International Symposium on High Performance Computer Architecture (HPCA'04).
[14] Brad Calder,et al. Transition phase classification and prediction , 2005, 11th International Symposium on High-Performance Computer Architecture.
[15] Lee-Sup Kim,et al. A low-power SRAM using hierarchical bit line and local sense amplifiers , 2005, IEEE J. Solid State Circuits.