SmartBalance: A sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs
暂无分享,去创建一个
[1] Vanish Talwar,et al. Using Asymmetric Single-ISA CMPs to Save Energy on Operating Systems , 2008, IEEE Micro.
[2] Nikil D. Dutt,et al. Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[3] Lizy Kurian John,et al. Run-time modeling and estimation of operating system power consumption , 2003, SIGMETRICS '03.
[4] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[5] Brian Jeff. Advances in big.LITTLE Technology for Power and Energy Savings Improving Energy Efficiency in High-Performance Mobile Platforms , 2012 .
[6] Bishop Brock,et al. Active Guardband Management in Power7+ to Save Energy and Maintain Reliability , 2013, IEEE Micro.
[7] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[8] Norman P. Jouppi,et al. Single-ISA heterogeneous multi-core architectures for multithreaded workload performance , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[9] Patrick Crowley,et al. Dynamic thread assignment on heterogeneous multiprocessor architectures , 2006, CF '06.
[10] Israel Koren,et al. An opportunistic prediction-based thread scheduling to maximize throughput/watt in AMPs , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.
[11] Lieven Eeckhout,et al. Scheduling heterogeneous multi-cores through performance impact estimation (PIE) , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[12] Kunle Olukotun,et al. The Future of Microprocessors , 2005, ACM Queue.
[13] R. K. Ursem. Multi-objective Optimization using Evolutionary Algorithms , 2009 .
[14] Diana Marculescu,et al. Dynamic thread mapping for high-performance, power-efficient heterogeneous many-core systems , 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).
[15] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[16] Lizy Kurian John,et al. Efficient program scheduling for heterogeneous multi-core processors , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[17] Myungsun Kim,et al. Utilization-aware load balancing for the energy efficient operation of the big.LITTLE processor , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).