Opportunities and Challenges of Using Plasmonic Components in Nanophotonic Architectures

Nanophotonic architectures have recently been proposed as a path to providing low latency, high bandwidth network-on-chips. These proposals have primarily been based on micro-ring resonator modulators which, while capable of operating at tremendous speed, are known to have both a high manufacturing induced variability and a high degree of temperature dependence. The most common solution to these two problems is to introduce small heaters to control the temperature of the ring directly, which can significantly reduce overall power efficiency. In this paper, we introduce plasmonics as a complementary technology. While plasmonic devices have several important advantages, they come with their own new set of restrictions, including propagation loss and lack of wave division multiplexing (WDM) support. To overcome these challenges we propose a new hybrid photonic/plasmonic channel that can support WDM through the use of photonic micro-ring resonators as variation tolerant passive filters. Our aim is to exploit the best of both technologies: wave-guiding of photonics, and modulating using plasmonics. This channel provides moderate bandwidth with distance independent power consumption and a higher degree of temperature and process variation tolerance. We describe the state of plasmonics research, present architecturally-useful models of many of the most important devices, explore new ways in which the limitations of the technology can most readily be minimized, and quantify the applicability of these novel hybrid schemes across a variety of interconnect strategies. Our link-level analysis shows that the hybrid channel can save from 28% to 45% of total channel energy-cost per bit depending on process variation conditions.

[1]  Nick McKeown,et al.  The iSLIP scheduling algorithm for input-queued switches , 1999, TNET.

[2]  S. Bozhevolnyi,et al.  Surface plasmon polariton based modulators and switches operating at telecom wavelengths , 2004 .

[3]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[4]  Peter B Catrysse,et al.  Geometries and materials for subwavelength surface plasmon modes. , 2004, Journal of the Optical Society of America. A, Optics, image science, and vision.

[5]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[6]  J. Dionne,et al.  Plasmon slot waveguides: Towards chip-scale propagation with subwavelength-scale localization , 2006 .

[7]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[8]  William J. Dally,et al.  Flattened butterfly: a cost-efficient topology for high-radix networks , 2007, ISCA '07.

[9]  H. Lezec,et al.  All-optical modulation by plasmonic excitation of CdSe quantum dots , 2007 .

[10]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[11]  Shanhui Fan,et al.  Theoretical investigation of compact couplers between dielectric slab waveguides and two-dimensional metal-dielectric-metal plasmonic waveguides. , 2007, Optics express.

[12]  Harry A. Atwater The promise of plasmonics. , 2007 .

[13]  William J. Dally,et al.  Flattened Butterfly Topology for On-Chip Networks , 2007, IEEE Comput. Archit. Lett..

[14]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[15]  William J. Dally,et al.  Technology-Driven, Highly-Scalable Dragonfly Topology , 2008, 2008 International Symposium on Computer Architecture.

[16]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[17]  Qianfan Xu,et al.  Silicon microring resonators with 1.5-μm radius , 2008 .

[18]  W. Cai,et al.  Compact, high-speed and power-efficient electrooptic plasmonic modulators. , 2009, Nano letters.

[19]  Christopher Batten,et al.  Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics , 2009, IEEE Micro.

[20]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[21]  Mikko H. Lipasti,et al.  Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[22]  S.J.B. Yoo,et al.  Athermalizing and Trimming of Slotted Silicon Microring Resonators With UV-Sensitive PMMA Upper-Cladding , 2009, IEEE Photonics Technology Letters.

[23]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[24]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[25]  Harry A Atwater,et al.  PlasMOStor: a metal-oxide-Si field effect plasmonic modulator. , 2009, Nano letters.

[26]  Jonathan Grandidier,et al.  Gain-assisted propagation in a plasmonic waveguide at telecom wavelength. , 2009, Nano letters.

[27]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[28]  Xuezhe Zheng,et al.  Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator. , 2009, Optics express.

[29]  Christopher Batten,et al.  Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.

[30]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[31]  M. Watts,et al.  Silicon photonics manufacturing. , 2010, Optics express.

[32]  M. Watts,et al.  Silicon microring modulator with integrated heater and temperature sensor for thermal control , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.

[33]  J. Dionne,et al.  Silicon-Based Plasmonics for On-Chip Photonics , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[34]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[35]  M. Lipson,et al.  CMOS-compatible athermal silicon microring resonators. , 2009, Optics express.

[36]  K. MacDonald,et al.  Active plasmonics: current status , 2010 .

[37]  R. Salas-Montiel,et al.  Efficient directional coupling between silicon and copper plasmonic nanoslot waveguides: toward metal-oxide-silicon nanophotonics. , 2010, Nano letters.

[38]  Jose Renau,et al.  Characterizing processor thermal behavior , 2010, ASPLOS XV.

[39]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[40]  David J. Thomson,et al.  Silicon optical modulators , 2010 .

[41]  George Michelogiannakis,et al.  An analysis of on-chip interconnection networks for large-scale chip multiprocessors , 2010, TACO.

[42]  Chen Sun,et al.  Addressing link-level design tradeoffs for integrated photonic interconnects , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[43]  Venkatesh Akella,et al.  Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[44]  Michal Lipson,et al.  High-speed data transmission in multi-layer deposited silicon photonics for advanced photonic networks-on-chip , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[45]  Jie Sun,et al.  Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.

[46]  Xi Chen,et al.  Reliability Modeling and Management of Nanophotonic On-Chip Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.