Routability-driven placement for hierarchical mixed-size circuit designs

A wirelength-driven placer without considering routability could introduce irresolvable routing-congested placements. Therefore, it is desirable to develop an effective routability-driven placer for modern mixed-size designs employing hierarchical methodologies for faster turnaround time. This paper presents a novel two-stage technique to effectively identify design hierarchies and guide placement for better wirelength and routability. To optimize wirelength and routability simultaneously during placement, a new analytical net-congestion-optimization technique is also proposed. Compared with the participating teams for the 2012 ICCAD Design Hierarchy Aware Routability-driven Placement Contest, our placer can achieve the best quality (both the average overflow and wirelength) and the best overall score (by additionally considering running time).

[1]  Jarrod A. Roy,et al.  Seeing the Forest and the Trees: Steiner Wirelength Optimization in Placement , 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Jason Cong,et al.  Routability-driven placement and white space allocation , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[3]  Natarajan Viswanathan,et al.  ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  Yao-Wen Chang,et al.  Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[5]  Tao Huang,et al.  Ripple: An effective routability-driven placer by iterative cell movement , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Peter Spindler,et al.  Fast and accurate routing demand estimation for efficient routability-driven placement , 2007 .

[7]  Yao-Wen Chang,et al.  NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Jin Hu,et al.  Completing high-quality global routes , 2010, ISPD '10.

[9]  Jin Hu,et al.  A SimPLR method for routability-driven placement , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[10]  Yao-Wen Chang,et al.  Structure-aware placement for datapath-intensive circuit designs , 2012, DAC Design Automation Conference 2012.

[11]  Yao-Wen Chang,et al.  Routability-driven analytical placement for mixed-size circuit designs , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[12]  Yih-Lang Li,et al.  Multi-threaded collision-aware global routing with bounded-length maze routing , 2010, Design Automation Conference.

[13]  Jarrod A. Roy,et al.  CRISP: Congestion reduction by iterated spreading during placement , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[14]  Cheng-Kok Koh,et al.  Guiding global placement with wire density , 2008, ICCAD 2008.

[15]  Yao-Wen Chang,et al.  TSV-aware analytical placement for 3D IC designs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  Jens Vygen,et al.  BonnPlace: Placement of Leading-Edge Chips by Advanced Combinatorial Algorithms , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[18]  Yao-Wen Chang,et al.  Design-hierarchy aware mixed-size placement for routability optimization , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[19]  Yao-Wen Chang,et al.  Essential Issues in Analytical Placement Algorithms , 2009, IPSJ Trans. Syst. LSI Des. Methodol..

[20]  Majid Sarrafzadeh,et al.  Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.