Application-specific processing using high-level synthesis for networks-on-chip

The end of Dennard scaling led to the use of heterogeneous Multi-Processor Systems-on-Chip (MPSoCs). Heterogeneous MPSoCs provide a high efficiency in terms of energy and performance due to the fact that each processing element can be optimized for an application task. However, the evolution of MPSoCs shows a growing number of processing elements (PEs) which leads to tremendous communication costs tending to become the performance bottleneck. Networks-on-Chip (NoCs) are a promising and scalable intra-chip communication technology for MPSoCs. This paper presents a novel NoC architecture for FPGA-based MPSoCs that combines data transfers with application-specific processing by adding high-level synthesized processing units to routers of the NoC. The execution of application-specific operations during data exchange between PEs exploits efficiently the transmission time. Furthermore, the processing units can be programmed in C/C++ using high-level synthesis and accordingly they can be specifically optimized for an application. This approach enables that transferred data can be processed by a processing element such as a MicroBlaze processor before the transmission or by a router during the transmission. Moreover, the additional processing capabilities of the routers release computing resources of the PEs.

[1]  Luca Fanucci,et al.  Homogeneous and Heterogeneous MPSoC Architectures with Network-On-Chip Connectivity for Low-Power and Real-Time Multimedia Signal Processing , 2012, VLSI Design.

[2]  Anupam Chattopadhyay,et al.  ReVAMP: ReRAM based VLIW architecture for in-memory computing , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[3]  Jing Li,et al.  Reconfigurable in-memory computing with resistive memory crossbar , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  Mohammed M. Farag,et al.  Overloaded CDMA Crossbar for Network-On-Chip , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Jari Nurmi,et al.  HW/SW Co-design of an IEEE 802.11a/g Receiver on Xilinx Zynq SoC using High-Level Synthesis , 2017, HEART.

[6]  Diana Göhringer,et al.  RAR-NoC: A reconfigurable and adaptive routable Network-on-Chip for FPGA-based multiprocessor systems , 2014, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14).

[7]  Nachiket Kapre,et al.  Hoplite: Building austere overlay NoCs for FPGAs , 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

[8]  Qiang Liu,et al.  Pipelined NoC router architecture design with buffer configuration exploration on FPGA , 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

[9]  Donata D. Acula,et al.  HeMatic: An automated leukemia detector with separation of overlapping blood cells through Image Processing and Genetic Algorithm , 2017, 2017 International Conference on Applied System Innovation (ICASI).

[10]  William J. Dally,et al.  Flit-reservation flow control , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[11]  William J. Dally,et al.  On-Chip Active Messages for Speed, Scalability, and Efficiency , 2015, IEEE Transactions on Parallel and Distributed Systems.

[12]  Seth Copen Goldstein,et al.  Active Messages: A Mechanism for Integrated Communication and Computation , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

[13]  Ivan Saraiva Silva,et al.  IPNoSys II — A new architecture for IPNoSys programming model , 2015, 2015 28th Symposium on Integrated Circuits and Systems Design (SBCCI).

[14]  Akash Kumar,et al.  XNoC: A non-intrusive TDM circuit-switched Network-on-Chip , 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

[15]  Keith D. Underwood,et al.  A reconfigurable extension to the network interface of beowulf clusters , 2001, Proceedings 42nd IEEE Symposium on Foundations of Computer Science.

[16]  Denis Navarro,et al.  Optimized FPGA Implementation of Model Predictive Control for Embedded Systems Using High-Level Synthesis Tool , 2018, IEEE Transactions on Industrial Informatics.

[17]  Miaoqing Huang,et al.  OOGen: An Automated Generation Tool for Custom MPSoC Architectures Based on Object-Oriented Programming Methods , 2016, 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

[18]  David Novo,et al.  Design Space Exploration of LDPC Decoders Using High-Level Synthesis , 2017, IEEE Access.

[19]  Haibo Huang,et al.  Nighttime lane markings recognition based on Canny detection and Hough transform , 2016, 2016 IEEE International Conference on Real-time Computing and Robotics (RCAR).

[20]  Diana Göhringer,et al.  Data Stream Processing in Networks-on-Chip , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).