Processor Speed Control With Thermal Constraints
暂无分享,去创建一个
Stephen P. Boyd | Srinivasan Murali | Giovanni De Micheli | Rajesh Gupta | David Atienza | Almir Mutapcic | G. Micheli | Rajesh K. Gupta | David Atienza Alonso | A. Mutapcic | S. Murali
[1] Erven Rohou,et al. Dynamically Managing Processor Temperature and Power , 1999 .
[2] Sarita V. Adve,et al. Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.
[3] Kirk Pruhs,et al. Dynamic speed scaling to manage energy and temperature , 2004, 45th Annual IEEE Symposium on Foundations of Computer Science.
[4] S. Asano,et al. The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[5] Stephen J. Wright,et al. Warm-Start Strategies in Interior-Point Methods for Linear Programming , 2002, SIAM J. Optim..
[6] C. H. Lim,et al. Design of VLSI CMOS circuits under thermal constraint , 2002 .
[7] Coniferous softwood. GENERAL TERMS , 2003 .
[8] O. Semenov,et al. Impact of self-heating effect on long-term reliability and performance degradation in CMOS circuits , 2006, IEEE Transactions on Device and Materials Reliability.
[9] Sachin Sapatnekar,et al. Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD 2003.
[10] Angelia NediÄ,et al. Subgradient methods for convex minimization , 2002 .
[11] Yurii Nesterov,et al. Introductory Lectures on Convex Optimization - A Basic Course , 2014, Applied Optimization.
[12] Stephen P. Boyd,et al. Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
[13] Sang H. Dhong,et al. Power-conscious design of the Cell processor's synergistic processor element , 2005, IEEE Micro.
[14] Chuang Zhang,et al. Thermal Compensation Method for CMOS Digital Integrated Circuits Using Temperature-Adaptive DC–DC Converter , 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.
[15] Srinivasan Murali,et al. Optimized Slowdown in Real-Time Task Systems via Geometric Programming , 2007 .
[16] Michael C. Huang,et al. A framework for dynamic energy efficiency and temperature management , 2000, MICRO 33.
[17] D K Smith,et al. Numerical Optimization , 2001, J. Oper. Res. Soc..
[18] Sandy Irani,et al. Algorithmic problems in power management , 2005, SIGA.
[19] Sung-Mo Kang,et al. Cell-level placement for improving substrate thermal distribution , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[20] O. Nelles,et al. An Introduction to Optimization , 1996, IEEE Antennas and Propagation Magazine.
[21] Asuman E. Ozdaglar,et al. Approximate Primal Solutions and Rate Analysis for Dual Subgradient Methods , 2008, SIAM J. Optim..
[22] Timothy A. Davis,et al. Direct methods for sparse linear systems , 2006, Fundamentals of algorithms.
[23] Kirk Pruhs,et al. Speed Scaling of Tasks with Precedence Constraints , 2005, Theory of Computing Systems.
[24] Kevin Skadron,et al. Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.
[25] Mahmut T. Kandemir,et al. Thermal-aware task allocation and scheduling for embedded systems , 2005, Design, Automation and Test in Europe.
[26] George B. Dantzig,et al. Decomposition Principle for Linear Programs , 1960 .
[27] Rajesh K. Gupta,et al. Energy-aware task scheduling with task synchronization for embedded real-time systems , 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[28] Chaitali Chakrabarti,et al. An Optimal Analytical Solution for Processor Speed Control with Thermal Constraints , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[29] Arnold Reisman,et al. Thermal stress analysis of a multichip package design , 1989 .
[30] Kevin Skadron,et al. Temperature-Aware Computer Systems: Opportunities and Challenges , 2003, IEEE Micro.
[31] Sachin S. Sapatnekar,et al. High-Efficiency Green Function-Based Thermal Simulation Algorithms , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[32] Jacek Gondzio,et al. Reoptimization With the Primal-Dual Interior Point Method , 2002, SIAM J. Optim..
[33] Luca Benini,et al. Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization , 2008, 2008 Design, Automation and Test in Europe.
[34] Tajana Simunic,et al. Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[35] Margaret Martonosi,et al. Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).
[36] Kimia Ghobadi,et al. A Heat-Transfer Optimization Problem , 2006 .
[37] Angelia Nedic,et al. Subgradient methods for convex minimization , 2002 .
[38] Lennart Ljung,et al. System Identification: Theory for the User , 1987 .
[39] Yinyu Ye,et al. Interior point algorithms: theory and analysis , 1997 .
[40] Hanif D. Sherali,et al. Recovery of primal solutions when using subgradient optimization methods to solve Lagrangian duals of linear programs , 1996, Oper. Res. Lett..
[41] Poki Chen,et al. A Fully Digital Time-Domain Smart Temperature Sensor Realized With 140 FPGA Logic Elements , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.
[42] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[43] David Yeh,et al. Thousand-Core Chips [Roundtable] , 2008, IEEE Design & Test of Computers.
[44] Yurii Nesterov,et al. Primal-dual subgradient methods for convex problems , 2005, Math. Program..
[45] Giovanni De Micheli,et al. Power and Reliability Management of SoCs , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[46] Chia-Feng Juang,et al. Temperature control by chip-implemented adaptive recurrent fuzzy controller designed by evolutionary algorithm , 2005, IEEE Trans. Circuits Syst. I Regul. Pap..
[47] Stephen J. Wright. Primal-Dual Interior-Point Methods , 1997, Other Titles in Applied Mathematics.
[48] Kevin Skadron,et al. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.
[49] Saurabh Dighe,et al. An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[50] Stephen P. Boyd,et al. Convex Optimization , 2004, Algorithms and Theory of Computation Handbook.
[51] Dimitri P. Bertsekas,et al. Nonlinear Programming , 1997 .
[52] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.