A Task Allocation Method Based on RMT of the Processor Core for MPSoC

With the rapid development of integrated technology, more and more IP cores were integrated on a single chip. However, the improvement of the transistor density and processor working frequency resulted in increasing power density and heat generation. So MPSoCs (Multiprocessor System-on-Chips) are facing inevitable heat dissipation problems. In this paper, a task allocation method based on the regional mean temperature (RMT) of the processor core was proposesed. The method fully considers the regional temperature of processor cores by using vector distance to calculate temperature gradient and adopting genetic algorithm to assign the initial task. Experiment results indicate that, compared with the random task allocation strategy, the peak temperature reduction, hotspot reduction and temperature gradient reduction in RMT strategy can reach the maximum value of 4.69%, 42.31%and 77.49%, respectively.

[1]  Yuzhuo Fu,et al.  Thermal management via task scheduling for 3D NoC based multi-processor , 2010, 2010 International SoC Design Conference.

[2]  Wei Zhang,et al.  Thermal-aware task scheduling for 3D-network-on-chip: A Bottom-to-Top scheme , 2014, ISIC.

[3]  Dirk Stroobandt,et al.  Adaptive routing in MPSoCs using an efficient path-based method , 2013, 2013 International SoC Design Conference (ISOCC).

[4]  Alexander Hall,et al.  Energy efficient application mapping to NoC processing elements operating at multiple voltage levels , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[5]  Li Shang,et al.  Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Lei Zhou,et al.  An equilibrium partitioning method for multicast traffic in 3D NoC architecture , 2015, 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

[7]  Hideo Fujiwara,et al.  Multicast-Based Testing and Thermal-Aware Test Scheduling for 3D ICs with a Stacked Network-on-Chip , 2016, IEEE Transactions on Computers.

[8]  Ding-Ming Kwai,et al.  Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization , 2011, 2011 Design, Automation & Test in Europe.