Mapping of H.264/AVC Encoder on a Hierarchical Chip Multicore DSP Platform

The emergence of large-scale chip multicore processors makes the on-chip parallel H.264/AVC encoder with high parallelism feasible. To reduce the data reload frequency, a hierarchical chip multi-core DSP platform with overall 64 DSP cores is designed to accommodate the computation/data-intensive H.264/AVC encoder. To increase parallelism, macro block level parallelism is exploited in this paper and wave front algorithm is utilized. Centralized shared memory in super nodes of this hierarchical DSP platform affords larger local space to hold the frequently used data and reduce bandwidth requirement. Subtask level parallelism within motion estimation, intra prediction and mode decision is further exploited to keep the DSP cores in a super node busy even only one macro block are assigned to a super node. Because of lack of available macro blocks in filling and emptying stages when encoding a frame, super nodes cannot be kept busy all the time and speedups of 13, 24, 26 and 49 are achieved for QCIF, SIF, CIF and HD sequences, respectively. To further improve the speedups and make best use of the processor resources, frame level parallelism should be exploited with carefully tuned memory allocation policy.

[1]  Shuming Chen,et al.  Performance impact of SMP-cluster on the On-chip Large-scale Parallel Computing architecture , 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW).

[2]  Itu-T and Iso Iec Jtc Advanced video coding for generic audiovisual services , 2010 .

[3]  Gary J. Sullivan,et al.  Performance comparison of video coding standards using Lagrangian coder control , 2002, Proceedings. International Conference on Image Processing.

[4]  Chita R. Das,et al.  Design and evaluation of a hierarchical on-chip interconnect for next-generation CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[5]  Shuming Chen,et al.  FCC-SDP: A Fast Close-Coupled Shared Data Pool for Multi-core DSPs , 2007, Asia-Pacific Computer Systems Architecture Conference.

[6]  Tian-Sheuan Chang,et al.  A 242mW 10mm2 1080p H.264/AVC High-Profile Encoder Chip , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[7]  Sun Shu-wei A Multi-standard Configurable Sub-pixel Interpolation Architecture for Video Encoding , 2009 .

[8]  Liu Xiang-yuang Efficient Transform-Domain Bit-Rate Estimation Technique for CABAC , 2008 .

[9]  David V. Anderson,et al.  TRENDS IN MULTI-CORE DSP PLATFORMS , 2009 .

[10]  Shuming Chen,et al.  P3-CABAC: A Nonstandard Tri-Thread Parallel Evolution of CABAC in the Manycore Era , 2010, IEEE Transactions on Circuits and Systems for Video Technology.

[11]  Zeljko Zilic,et al.  A Hybrid Ring/Mesh Interconnect for Network-on-Chip Using Hierarchical Rings for Global Routing , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[12]  Jung H. Lee,et al.  ASIP Instructions and Their Hardware Architecture for H.264 / AVC , 2005 .

[13]  Shuming Chen,et al.  A fully pipelined CABAC coder using syntax element instructions driving , 2009, 2009 IEEE 8th International Conference on ASIC.

[14]  Yen-Kuang Chen,et al.  Implementation of H.264 encoder and decoder on personal computers , 2006, J. Vis. Commun. Image Represent..

[15]  D.V. Anderson,et al.  Trends in multicore DSP platforms , 2009, IEEE Signal Processing Magazine.

[16]  Tian-Sheuan Chang,et al.  A 242mW, 10mm2 1080p H.264/AVC high profile encoder chip , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[17]  Soon-kak Kwon,et al.  Overview of H.264/MPEG-4 part 10 , 2006, J. Vis. Commun. Image Represent..

[18]  Ben H. H. Juurlink,et al.  Parallel H.264 Decoding on an Embedded Multicore Processor , 2009, HiPEAC.

[19]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[20]  Shuming Chen,et al.  Supporting Efficient Synchronization in Multi-core NoCs Using Dynamic Buffer Allocation Technique , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[21]  Zhuo Zhao,et al.  A Highly Efficient Parallel Algorithm for H.264 Video Encoder , 2006, 2006 IEEE International Conference on Acoustics Speech and Signal Processing Proceedings.