Temporal characterization of SPEC CPU2006 workloads: Analysis and synthesis

SPEC CPU2006 benchmark suite has been extensively studied, with efforts focusing on the requirement understanding of memory workloads from the SPEC CPU2006 suite. However, characterizing SPEC CPU2006 workloads from a time dependence perspective has attracted little attention. This paper studies the auto-correlation functions of the arrival intervals of memory accesses in all SPEC CPU2006 traces, and concludes that correlations in memory inter-access times are inconsistent, either with evident correlations or with little and no correlation. Different with the studies focused on the prior suites, we present that self-similarity exists only in a small number of SPEC2006 workloads. In addition, we implement a memory access series generator in which the inputs are the measured properties of the available trace data. Experimental results show that this model can more accurately emulate the complex access arrival behaviors of real memory systems than the conventional self-similar and independent identically distributed methods, particularly the heavy-tail characteristics under both Gaussian and non-Gaussian workloads.

[1]  Ronald G. Dreslinski,et al.  The M5 Simulator: Modeling Networked Systems , 2006, IEEE Micro.

[2]  A. Jaleel Memory Characterization of Workloads Using Instrumentation-Driven Simulation A Pin-based Memory Characterization of the SPEC CPU 2000 and SPEC CPU 2006 Benchmark Suites , 2022 .

[3]  Bo Hong,et al.  The relevance of long-range dependence in disk traffic and implications for trace synthesis , 2005, 22nd IEEE / 13th NASA Goddard Conference on Mass Storage Systems and Technologies (MSST'05).

[4]  Todd M. Austin,et al.  The SimpleScalar tool set, version 2.0 , 1997, CARN.

[5]  M. Taqqu,et al.  Stable Non-Gaussian Random Processes : Stochastic Models with Infinite Variance , 1995 .

[6]  David Kaeli,et al.  Characterization of file I/O activity for SPEC CPU2006 , 2007, CARN.

[7]  Qi Zhang,et al.  Characterization of storage workload traces from production Windows Servers , 2008, 2008 IEEE International Symposium on Workload Characterization.

[8]  Anand Sivasubramaniam,et al.  Synthesizing Representative I/O Workloads for TPC-H , 2004, 10th International Symposium on High Performance Computer Architecture (HPCA'04).

[9]  Luiz André Barroso,et al.  Memory system characterization of commercial workloads , 1998, ISCA.

[10]  Juan-Carlos Cano,et al.  Self-similarity in SPLASH-2 workloads on shared memory multiprocessors systems , 2000, Proceedings 8th Euromicro Workshop on Parallel and Distributed Processing.

[11]  María Engracia Gómez,et al.  Analysis of self-similarity in I/O workload using structural modeling , 1999, MASCOTS '99. Proceedings of the Seventh International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

[12]  Mor Harchol-Balter,et al.  Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

[13]  Michalis Faloutsos,et al.  Long-range dependence: now you see it, now you don't! , 2002, Global Telecommunications Conference, 2002. GLOBECOM '02. IEEE.

[14]  Lizy Kurian John,et al.  Automatic testcase synthesis and performance model validation for high performance PowerPC processors , 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

[15]  Alma Riska,et al.  Disk Drive Level Workload Characterization , 2006, USENIX Annual Technical Conference, General Track.

[16]  Walter Willinger,et al.  Self-similarity through high-variability: statistical analysis of Ethernet LAN traffic at the source level , 1997, TNET.

[17]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[18]  John L. Henning SPEC CPU2000: Measuring CPU Performance in the New Millennium , 2000, Computer.

[19]  Christos Faloutsos,et al.  Data mining meets performance evaluation: fast algorithms for modeling bursty traffic , 2002, Proceedings 18th International Conference on Data Engineering.

[20]  Lieven Eeckhout,et al.  Control flow modeling in statistical simulation for accurate and efficient processor design studies , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

[21]  Azer Bestavros,et al.  Self-similarity in World Wide Web traffic: evidence and possible causes , 1996, SIGMETRICS '96.

[22]  Walter Willinger,et al.  Self-similarity through high-variability: statistical analysis of Ethernet LAN traffic at the source level , 1997, TNET.

[23]  Brian N. Bershad,et al.  Execution characteristics of desktop applications on Windows NT , 1998, ISCA.

[24]  Mor Harchol-Balter,et al.  ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[25]  Zhiyong Xu,et al.  An analysis of cache performance of multimedia applications , 2004, IEEE Transactions on Computers.

[26]  Lieven Eeckhout,et al.  Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks , 2006, 2006 IEEE International Symposium on Workload Characterization.

[27]  Ilkka Norros,et al.  On the Use of Fractional Brownian Motion in the Theory of Connectionless Networks , 1995, IEEE J. Sel. Areas Commun..

[28]  Hong Jiang,et al.  A Novel and Generic Model for Synthesizing Disk I/O Traffic Based on The Alpha-stable Process , 2008, 2008 IEEE International Symposium on Modeling, Analysis and Simulation of Computers and Telecommunication Systems.

[29]  Walter Willinger,et al.  On the self-similar nature of Ethernet traffic , 1993, SIGCOMM '93.

[30]  Basil S. Maglaris,et al.  Modelling the self-similar behaviour of network traffic , 2000, Comput. Networks.

[31]  Walter Willinger,et al.  Long-range dependence in variable-bit-rate video traffic , 1995, IEEE Trans. Commun..

[32]  M.E. Gomez,et al.  Self-similarity in I/O workload: analysis and modeling , 1998, Workload Characterization: Methodology and Case Studies. Based on the First Workshop on Workload Characterization.

[33]  Aamer Jaleel,et al.  DRAMsim: a memory system simulator , 2005, CARN.

[34]  Lizy Kurian John,et al.  Synthesizing memory-level parallelism aware miniature clones for SPEC CPU2006 and ImplantBench workloads , 2010, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS).

[35]  Suleyman Sair,et al.  Memory Behavior of the SPEC 2000 Benchmark Suite , 2000 .

[36]  Kimberly Keeton,et al.  Synthesizing representative I/O workloads using iterative distillation , 2003, 11th IEEE/ACM International Symposium on Modeling, Analysis and Simulation of Computer Telecommunications Systems, 2003. MASCOTS 2003..

[37]  Alma Riska,et al.  Long-Range Dependence at the Disk Drive Level , 2006, Third International Conference on the Quantitative Evaluation of Systems - (QEST'06).

[38]  Walter Willinger,et al.  Analysis, modeling and generation of self-similar VBR video traffic , 1994, SIGCOMM.

[39]  Sally Floyd,et al.  Wide-area traffic: the failure of Poisson modeling , 1994 .

[40]  Tao Li,et al.  Using A Multiscale Approach to Characterize Workload Dynamics , 2005 .

[41]  Moon S. Chang,et al.  SPEC CPU2006 sensitivity to memory page sizes , 2007, CARN.