Toward Physically-Adaptive Computing

As semiconductor technology approaches the atomic scale, electronic systems are increasingly burdened by physical variations and uncertainty. Traditionally-designed systems lack an ability to adapt to these fine-grained effects and are thus becoming more inefficient, error-prone, and subject to early wear out. This paper describes the paradigm of physically-adaptive computing (PAC), in which systems learn physical parameters and adapt with fine granularity in the field. We outline an architecture for an adaptation agent and investigate two key aspects of the adaptive process: self-characterization and physical self-optimization. A case study is presented involving random variations in latch reliability. We conducted experiments on a model of a PAC system with physical data obtained from actual field-programmable gate array (FPGA) hardware. Our results show that across 15 benchmark circuits the mean time between failures improved by an average of 30% via low-cost self-adaptation and by 45% assuming assistance from a remote server. Physical self-adaptation and assisted adaptation will both play an important role in achieving computational systems with atomic-scale features.

[1]  Hod Lipson,et al.  Resilient Machines Through Continuous Self-Modeling , 2006, Science.

[2]  Jinjun Xiong,et al.  FPGA Performance Optimization Via Chipwise Placement Considering Process Variations , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[3]  Manish Parashar,et al.  Enabling autonomic power-aware management of instrumented data centers , 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

[4]  John M. Emmert,et al.  On-line incremental routing for interconnect fault tolerance in FPGAs minus the router , 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[5]  Hanpei Koike,et al.  Suppression of Intrinsic Delay Variation in FPGAs using Multiple Configurations , 2008, TRETS.

[6]  Frank Vahid,et al.  Warp Processing: Dynamic Translation of Binaries to FPGA Circuits , 2008, Computer.

[7]  John P. Hayes,et al.  High-level vulnerability over space and time to insidious soft errors , 2008, 2008 IEEE International High Level Design Validation and Test Workshop.

[8]  Julie A. McCann,et al.  Evaluation Issues in Autonomic Computing , 2004, GCC Workshops.

[9]  John P. Hayes,et al.  On-line characterization and reconfiguration for single event upset variations , 2009, 2009 15th IEEE International On-Line Testing Symposium.

[10]  Peter Y. K. Cheung,et al.  Self-characterization of Combinatorial Circuit Delays in FPGAs , 2007, 2007 International Conference on Field-Programmable Technology.

[11]  John Carter,et al.  A lightweight secure cyber foraging infrastructure for resource-constrained devices , 2004, Sixth IEEE Workshop on Mobile Computing Systems and Applications.

[12]  Bradley R. Schmerl,et al.  Exploiting architectural design knowledge to support self-repairing systems , 2002, SEKE '02.

[13]  Kazutoshi Kobayashi,et al.  A yield and speed enhancement scheme under within-die variations on 90nm LUT array , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

[14]  Henry Hoffmann,et al.  Enabling technologies for self-aware adaptive systems , 2010, 2010 NASA/ESA Conference on Adaptive Hardware and Systems.

[15]  Russell Tessier,et al.  Tolerating operational faults in cluster-based FPGAs , 2000, FPGA '00.

[16]  Matthew French,et al.  Autonomous System on a Chip Adaptation through Partial Runtime Reconfiguration , 2008, 2008 16th International Symposium on Field-Programmable Custom Computing Machines.

[17]  Wolfgang Rosenstiel,et al.  Current state of ASoC design methodology , 2008, Organic Computing - Controlled Self-organization.

[18]  F.C. Sabou,et al.  Markov Chain Analysis of Thermally Induced Soft Errors in Subthreshold Nanoscale CMOS Circuits , 2009, IEEE Transactions on Device and Materials Reliability.

[19]  Tom Flatley Advanced Hybrid On-Board Science Data Processor - SpaceCube 2.0 , 2010 .

[20]  Peter Y. K. Cheung,et al.  Compensating for variability in FPGAs by re-mapping and re-placement , 2009, 2009 International Conference on Field Programmable Logic and Applications.

[21]  Eduardo Pinheiro,et al.  DRAM errors in the wild: a large-scale field study , 2009, SIGMETRICS '09.

[22]  Yung-Hsiang Lu,et al.  Cloud Computing for Mobile Users: Can Offloading Computation Save Energy? , 2010, Computer.

[23]  John Wawrzynek,et al.  Defect tolerance in multiple-FPGA systems , 2006 .

[24]  John P. Hayes,et al.  On-line sensing for healthier FPGA systems , 2010, FPGA '10.

[25]  Yutao He,et al.  iBoard: A highly-capable, high-performance, reconfigurable FPGA-based building block for flight instrument digital electronics , 2010, 2010 NASA/ESA Conference on Adaptive Hardware and Systems.

[26]  Kai Zhu Post-route LUT output polarity selection for timing optimization , 2007, FPGA '07.

[27]  Roy Sterritt,et al.  Fulfilling the Vision of Autonomic Computing , 2010, Computer.

[28]  I.A. Troxel,et al.  Achieving Multipurpose Space Imaging with the ARTEMIS Reconfigurable Payload Processor , 2008, 2008 IEEE Aerospace Conference.

[29]  John P. Hayes,et al.  Self-Test and Adaptation for Random Variations in Reliability , 2010, 2010 International Conference on Field Programmable Logic and Applications.

[30]  André DeHon,et al.  VMATCH: Using logical variation to counteract physical variation in bottom-up, nanoscale systems , 2009, 2009 International Conference on Field-Programmable Technology.

[31]  Michael J. Wirthlin,et al.  On-Orbit Flight Results from the Reconfigurable Cibola Flight Experiment Satellite (CFESat) , 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.