A 28-nm FD-SOI 8T Dual-Port SRAM for Low-Energy Image Processor With Selective Sourceline Drive Scheme
暂无分享,去创建一个
Shusuke Yoshimoto | Shintaro Izumi | Masahiko Yoshimoto | Hiroshi Kawaguchi | Kenta Takagi | Haruki Mori | Yuta Kawamoto | Yuki Kitahara | Tomoki Nakagawa
[1] Massimo Alioto,et al. Understanding the Effect of Process Variations on the Delay of Static and Domino Logic , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] O. Faynot,et al. Dual Strained Channel co-integration into CMOS, RO and SRAM cells on FDSOI down to 17nm gate length , 2010, 2010 International Electron Devices Meeting.
[3] Koji Nii,et al. A 6.05-Mb/mm2 16-nm FinFET double pumping 1W1R 2-port SRAM with 313 ps read access time , 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).
[4] Jing-Yang Jou,et al. A Cache Hierarchy Aware Thread Mapping Methodology for GPGPUs , 2015, IEEE Transactions on Computers.
[5] N. Planes,et al. 6T SRAM design for wide voltage range in 28nm FDSOI , 2012, 2012 IEEE International SOI Conference (SOI).
[6] Xu Cheng,et al. Bit-Interleaving-Enabled 8T SRAM With Shared Data-Aware Write and Reference-Based Sense Amplifier , 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.
[7] Gilles Reimbold,et al. A Complete Characterization and Modeling of the BTI-Induced Dynamic Variability of SRAM Arrays in 28-nm FD-SOI Technology , 2014, IEEE Transactions on Electron Devices.
[8] Hao-I Yang,et al. A 40 nm 512 kb Cross-Point 8 T Pipeline SRAM With Binary Word-Line Boosting Control, Ripple Bit-Line and Adaptive Data-Aware Write-Assist , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[9] T. Sakurai,et al. 0.5V image processor with 563 GOPS/W SIMD and 32bit CPU using high voltage clock distribution (HVCD) and adaptive frequency scaling (AFS) with 40nm CMOS , 2013, 2013 Symposium on VLSI Circuits.
[10] Koji Nii,et al. 13.3 20nm High-density single-port and dual-port SRAMs with wordline-voltage-adjustment system for read/write assists , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[11] Renato P. Ribas,et al. Gate delay variability estimation method for parametric yield improvement in nanometer CMOS technology , 2010, Microelectron. Reliab..
[12] Sudhakar Yalamanchili,et al. Power Modeling for GPU Architectures Using McPAT , 2014, TODE.
[13] O. Rozeau,et al. 28nm FDSOI technology platform for high-speed low-voltage digital applications , 2012, 2012 Symposium on VLSI Technology (VLSIT).
[14] O. Faynot,et al. Fully-depleted SOI technology using high-k and single-metal gate for 32 nm node LSTP applications featuring 0.179 μm2 6T-SRAM bitcell , 2007, 2007 IEEE International Electron Devices Meeting.
[15] Shusuke Yoshimoto,et al. A 40-nm 8T SRAM with selective source line control of read bitlines and address preset structure , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.
[16] Hidehiro Fujiwara,et al. A 28 nm Dual-Port SRAM Macro With Screening Circuitry Against Write-Read Disturb Failure Issues , 2010, IEEE Journal of Solid-State Circuits.
[17] Harold Pilo,et al. A 64Mb SRAM in 22nm SOI technology featuring fine-granularity power gating and low-energy power-supply-partition techniques for 37% leakage reduction , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[18] Shusuke Yoshimoto,et al. A 298-fJ/writecycle 650-fJ/readcycle 8T three-port SRAM in 28-nm FD-SOI process technology for image processor , 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).
[19] Joel Emer,et al. Eyeriss: an Energy-efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks Accessed Terms of Use , 2022 .
[20] T. Sakurai. Low power digital circuit design , 2004, Proceedings of the 30th European Solid-State Circuits Conference.
[21] John Keane,et al. A 0.094um2 high density and aging resilient 8T SRAM with 14nm FinFET technology featuring 560mV VMIN with read and write assist , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).
[22] Hidehiro Fujiwara,et al. A 28nm high density 1R/1W 8T-SRAM macro with screening circuitry against read disturb failure , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.
[23] Hanwool Jeong,et al. Full-Swing Local Bitline SRAM Architecture Based on the 22-nm FinFET Technology for Low-Voltage Operation , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[24] Koji Nii,et al. 2RW dual-port SRAM design challenges in advanced technology nodes , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).
[25] Nicolas Planes,et al. Ultra-wide body-bias range LDPC decoder in 28nm UTBB FDSOI technology , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[26] Jaydeep Kulkarni,et al. 5.6 Mb/mm $^{2}$ 1R1W 8T SRAM Arrays Operating Down to 560 mV Utilizing Small-Signal Sensing With Charge Shared Bitline and Asymmetric Sense Amplifier in 14 nm FinFET CMOS Technology , 2017, IEEE Journal of Solid-State Circuits.
[27] William J. Dally,et al. GPUs and the Future of Parallel Computing , 2011, IEEE Micro.
[28] Jaydeep Kulkarni,et al. Dual-VCC 8T-bitcell SRAM array in 22nm tri-gate CMOS for energy-efficient operation across wide dynamic voltage range , 2013, 2013 Symposium on VLSI Technology.
[29] Renato P. Ribas,et al. CMOS logic gate performance variability related to transistor network arrangements , 2009, Microelectron. Reliab..