OpenMPL: An Open Source Layout Decomposer: Invited Paper

Multiple patterning lithography has been widely adopted in advanced technology nodes of VLSI manufacturing. As a key step in the design flow, multiple patterning layout decomposition (MPLD) is critical to design closure. Due to the $\mathcal{NP}$-hardness of the general decomposition problem, various efficient algorithms have been proposed with high quality solutions. However, with increasingly complicated design flow and peripheral processing steps, developing a high-quality layout decomposer becomes more and more difficult, slowing down the further advancement in this field. This paper presents OpenMPL [1], an open-source layout decomposition framework, with well-separated peripheral processing and the core solving steps. We demonstrate the flexibility of the framework with efficient implementations of various state-of-the-art algorithms, which enable us to reproduce most of the recent results on widely-recognized benchmarks. We believe OpenMPL can pave the road for developing layout decomposition engines and stimulate further researches on this problem.

[1]  B. Borchers CSDP, A C library for semidefinite programming , 1999 .

[2]  David Z. Pan,et al.  A high-performance triple patterning layout decomposer with balanced density , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[3]  Jhih-Rong Gao,et al.  A unified framework for simultaneous layout decomposition and mask optimization , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  Xuan Zeng,et al.  Methodologies for layout decomposition and mask optimization: A systematic review , 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

[5]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Evangeline F. Y. Young,et al.  An efficient layout decomposition approach for Triple Patterning Lithography , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  David Z. Pan,et al.  Methodology for standard cell compliance and detailed placement for triple patterning lithography , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Kun Yuan,et al.  Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Wenxing Zhu,et al.  Discrete Relaxation Method for Triple Patterning Lithography Layout Decomposition , 2017, IEEE Transactions on Computers.

[10]  Hai Zhou,et al.  Layout decomposition with pairwise coloring for multiple patterning lithography , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[11]  Iris Hui-Ru Jiang,et al.  Multiple patterning layout decomposition considering complex coloring rules , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[12]  Chikaaki Kodama,et al.  Positive Semidefinite Relaxation and Approximation Algorithm for Triple Patterning Lithography , 2014, ISAAC.

[13]  Zigang Xiao,et al.  A polynomial time triple patterning algorithm for cell based row-structure layout , 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[14]  Peter De Bisschop,et al.  A fast triple patterning solution with fix guidance , 2014, Advanced Lithography.

[15]  Evangeline F. Y. Young,et al.  Fixed-parameter tractable algorithms for optimal layout decomposition and beyond , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  Bei Yu,et al.  Triple/quadruple patterning layout decomposition via linear programming and iterative rounding , 2017 .

[17]  David Z. Pan,et al.  Layout decomposition for quadruple patterning lithography and beyond , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  Andrew B. Kahng,et al.  Layout Decomposition Approaches for Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[19]  David Z. Pan,et al.  Pushing multiple patterning in sub-10nm: Are we ready? , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Yao-Wen Chang,et al.  A novel layout decomposition algorithm for triple patterning lithography , 2012, DAC Design Automation Conference 2012.

[21]  D'Ann Johnson,et al.  Limbo , 2001 .

[22]  Ting-Chi Wang,et al.  A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography , 2015, ISPD.

[23]  Bei Yu,et al.  Layout compliance for triple patterning lithography: an iterative approach , 2014, Photomask Technology.

[24]  Yue Xu,et al.  GREMA: Graph reduction based efficient mask assignment for double patterning technology , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.