An analysis of a resource efficient checkpoint architecture
暂无分享,去创建一个
[1] Josep Llosa,et al. Large virtual robs by processor checkpointing , 2002 .
[2] Haitham Akkary,et al. Checkpoint processing and recovery: towards scalable large instruction window processors , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[3] Eric Sprangle,et al. Increasing processor performance by implementing deeper pipelines , 2002, ISCA.
[4] Trevor Mudge,et al. Improving data cache performance by pre-executing instructions under a cache miss , 1997 .
[5] Nikil D. Dutt,et al. Partitioned register files for VLIWs: a preliminary analysis of tradeoffs , 1992, MICRO 25.
[6] Michael C. Huang,et al. Cherry: checkpointed early resource recycling in out-of-order microprocessors , 2002, MICRO.
[7] Andreas Moshovos,et al. Streamlining inter-operation memory communication via data dependence prediction , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[8] Andrew R. Pleszkun,et al. Implementation of precise interrupts in pipelined processors , 1985, ISCA '98.
[9] Josep Llosa,et al. A case for resource-conscious out-of-order processors , 2004, IEEE Computer Architecture Letters.
[10] Burton H. Bloom,et al. Space/time trade-offs in hash coding with allowable errors , 1970, CACM.
[11] Stamatis Vassiliadis,et al. Register renaming and dynamic speculation: an alternative approach , 1993, MICRO.
[12] Yale N. Patt,et al. Checkpoint repair for out-of-order execution machines , 1987, ISCA '87.
[13] David J. Sager,et al. The microarchitecture of the Pentium 4 processor , 2001 .
[14] Josep Llosa,et al. Out-of-order commit processors , 2004, 10th International Symposium on High Performance Computer Architecture (HPCA'04).
[15] A. Moshovos. Checkpointing alternatives for high-performance, power-aware processors , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[16] Rajeev Balasubramonian,et al. Reducing the complexity of the register file in dynamic superscalar processors , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[17] Rahul Razdan,et al. The Alpha 21264: a 500 MHz out-of-order execution microprocessor , 1997, Proceedings IEEE COMPCON 97. Digest of Papers.
[18] Sarita V. Adve,et al. Using speculative retirement and larger instruction windows to narrow the performance gap between memory consistency models , 1997, SPAA '97.
[19] Tong Li,et al. A large, fast instruction window for tolerating cache misses , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[20] Yale N. Patt,et al. Select-free instruction scheduling logic , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[21] Tejas Karkhanis,et al. A Day in the Life of a Data Cache Miss , 2002 .
[22] Balaram Sinharoy,et al. POWER4 system microarchitecture , 2002, IBM J. Res. Dev..
[23] Mateo Valero,et al. Multiple-banked register file architectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[24] Eric Rotenberg,et al. Assigning confidence to conditional branch predictions , 1996, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29.
[25] Stamatis Vassiliadis,et al. Register renaming and dynamic speculation: an alternative approach , 1993, Proceedings of the 26th Annual International Symposium on Microarchitecture.
[26] James E. Smith,et al. Complexity-Effective Superscalar Processors , 1997, ISCA.
[27] Kenneth C. Yeager. The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.