Hybrid CMOS and CNFET Power Gating in Ultralow Voltage Design

This paper proposes a new hybrid MOSFET/carbon nanotube FET (CNFET) power-gating (PG) method using 32 nm technology in the ultralow-voltage region (~0.4 V). Traditionally, PG is one of the most effective methods to reduce the power dissipation of systems in sleep mode, but it suffers from increased propagation delay and wake-up time due to the high-threshold voltage of power switches in the low-voltage region. In this paper, to reduce the propagation delay and wake-up time of the PG structure while keeping low leakage power in the sleep mode, the CNFET power switches are combined with silicon MOSFET logic cells. The proposed hybrid structure reduces the time gap in switching over from silicon MOSFET to CNFET technology. For the tradeoff between wake-up overhead and leakage power saving, a new four-power-mode PG structure and a new two-pass PG structure using back-gate biasing of the CNFET switches are used. The simulation results of the proposed hybrid PG at 0.4 V are compared with those of the logic blocks without PG and the MOSFET PG structure using low-threshold voltage power switches. The simulation results show that the proposed hybrid structure reduces the total leakage power by 69.07%, the rush current by 5.13%, and the delay by 5.96%, on average, compared to the conventional PG structure for ISCAS85 benchmark circuits designed in 32 nm technology. More specifically, the proposed structure reduces the total leakage by 95.85% at the cost of 3% delay penalty compared to the logic blocks without PG for ISCAS85 benchmark circuits designed in 32 nm technology.

[1]  Qian Wang,et al.  Advancements in complementary carbon nanotube field-effect transistors , 2003, IEEE International Electron Devices Meeting 2003.

[2]  David Howard,et al.  Challenges in sleep transistor design and implementation in low-power designs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[3]  Mohamed I. Elmasry,et al.  Design and optimization of multithreshold CMOS (MTCMOS) circuits , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[4]  Giovanni De Micheli,et al.  Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[5]  Kevin J. Nowka,et al.  Power gating with multiple sleep modes , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

[6]  Ranga Vemuri,et al.  Dynamic Characteristics of Power Gating During Mode Transition , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  N. Goldsman,et al.  Electron Transport and Velocity Oscillations in a Carbon Nanotube , 2007, IEEE Transactions on Nanotechnology.

[8]  P. Royannez,et al.  Techniques for Wireless Applications , 2005 .

[9]  R. Krupke,et al.  Separation of Metallic from Semiconducting Single-Walled Carbon Nanotubes , 2003, Science.

[10]  H. Kawaguchi,et al.  Zigzag super cut-off CMOS (ZSCCMOS) block activation with self-adaptive voltage level controller: an alternative to clock-gating scheme in leakage dominant era , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

[11]  P. McEuen,et al.  Single-walled carbon nanotube electronics , 2002 .

[12]  S. Wind,et al.  Carbon nanotube electronics , 2002, Digest. International Electron Devices Meeting,.

[13]  H. Wong,et al.  A Compact SPICE Model for Carbon-Nanotube Field-Effect Transistors Including Nonidealities and Its Application—Part I: Model of the Intrinsic Channel Region , 2007, IEEE Transactions on Electron Devices.

[14]  Fabrizio Lombardi,et al.  Device Model for Ballistic CNFETs Using the First Conducting Band , 2008, IEEE Design & Test of Computers.

[15]  H. Wong,et al.  Monolithic integration of CMOS VLSI and CNT for hybrid nanotechnology applications , 2008, ESSDERC 2008 - 38th European Solid-State Device Research Conference.

[16]  Takayasu Sakurai,et al.  Zigzag super cut–off CMOS (ZSCCMOS) scheme with self–saturated virtual power lines for subthreshold–leakage–suppressed sub–1–V–V DD LSI's , 2002 .

[17]  Mohamed I. Elmasry,et al.  Multi-Threshold CMOS Digital Circuits , 2003 .

[18]  J. Knoch,et al.  High-performance carbon nanotube field-effect transistor with tunable polarities , 2005, IEEE Transactions on Nanotechnology.

[19]  Mark S. Lundstrom,et al.  Theory of ballistic nanotransistors , 2003 .

[20]  H. Dai,et al.  Selective Etching of Metallic Carbon Nanotubes by Gas-Phase Reaction , 2006, Science.

[21]  Hai Wei,et al.  ACCNT—A Metallic-CNT-Tolerant Design Methodology for Carbon-Nanotube VLSI: Concepts and Experimental Demonstration , 2009, IEEE Transactions on Electron Devices.

[22]  H.-S. Philip Wong,et al.  Digital VLSI logic technology using Carbon Nanotube FETs: Frequently Asked Questions , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[23]  Ken Choi,et al.  Ultralow-Voltage Power Gating Structure Using Low Threshold Voltage , 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

[24]  T. Sakurai,et al.  A super cut-off CMOS (SCCMOS) scheme for 0.5-V supply voltage with picoampere stand-by current , 2000, IEEE Journal of Solid-State Circuits.

[25]  Kenneth L. Shepard,et al.  Hybrid carbon nanotube-silicon complementary metal oxide semiconductor circuits , 2007 .

[26]  M. Hasan,et al.  Novel hybrid CMOS and CNFET inverting amplifier design for area, power and performance optimization , 2009, 2009 2nd International Workshop on Electron Devices and Semiconductor Technology.

[27]  P. Chan,et al.  Novel Local Silicon-Gate Carbon Nanotube Transistors Combining Silicon-on-Insulator Technology for Integration , 2009, IEEE Transactions on Nanotechnology.

[28]  Ken Choi,et al.  An Analysis Methodology for Dynamic Power Gating , 2007 .

[29]  Hai Wei,et al.  ACCNT: A Metallic-CNT-Tolerant Design Methodology for Carbon Nanotube VLSI: Analyses and Design Guidelines , 2010, IEEE Transactions on Electron Devices.

[30]  Massoud Pedram,et al.  Design and application of multimodal power gating structures , 2009, 2009 10th International Symposium on Quality Electronic Design.

[31]  Nam Sung Kim,et al.  Frequency and yield optimization using power gates in power-constrained designs , 2009, ISLPED.

[32]  H.-S. Philip Wong,et al.  Carbon nanotube circuits: Living with imperfections and variations , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).