A new graph-theoretic, multi-objective layout decomposition framework for Double Patterning Lithography

As Double Patterning Lithography(DPL) becomes the leading candidate for sub-30nm lithography process, we need a fast and lithography friendly decomposition framework. In this paper, we propose a multi-objective min-cut based decomposition framework for stitch minimization, balanced density, and overlay compensation, simultaneously. The key challenge of DPL is to accomplish high quality decomposition for large-scale layouts under reasonable runtime with the following objectives: a) the number of stitches is minimized, b) the balance between two decomposed layers is maximized for further enhanced patterning, c) the impact of overlay on coupling capacitance is reduced for less timing variation. We use a graph theoretic algorithm for minimum stitch insertion and balanced density. An additional decomposition constraints for self-overlay compensation are obtained by integer linear programming(ILP). With the constraints, global decomposition is executed by our modified FM graph partitioning algorithm. Experimental results show that the proposed framework is highly scalable and fast: we can decompose all 15 benchmark circuits in five minutes in a density balanced fashion, while an ILP-based approach can finish only the smallest five circuits. In addition, we can remove more than 95% of the timing variation induced by overlay for tested structures.

[1]  Kun Yuan,et al.  Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[3]  Andrew R. Neureuther,et al.  Post-decomposition assessment of double patterning layouts , 2008, SPIE Advanced Lithography.

[4]  Tsann-Bim Chiou,et al.  Development of layout split algorithms and printability evaluation for double patterning technology , 2008, SPIE Advanced Lithography.

[5]  David Z. Pan,et al.  Double patterning technology friendly detailed routing , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[6]  Kurt Keutzer,et al.  Miller factor for gate-level coupling delay calculation , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[7]  Dinesh K. Sharma,et al.  Resolution enhancement techniques for optical lithography , 2002 .

[8]  David Z. Pan,et al.  Layout optimizations for double patterning lithography , 2009, 2009 IEEE 8th International Conference on ASIC.

[9]  Kun Yuan,et al.  Layout Decomposition for Triple Patterning Lithography , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Andrew R. Neureuther,et al.  Variability aware interconnect timing models for double patterning , 2009, Advanced Lithography.

[11]  Andrew B. Kahng,et al.  Layout decomposition for double patterning lithography , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[12]  Andrew B. Kahng,et al.  Layout Decomposition Approaches for Double Patterning Lithography , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Vincent Wiaux,et al.  Double pattern EDA solutions for 32nm HP and beyond , 2007, SPIE Advanced Lithography.

[14]  David Laidler,et al.  Sources of overlay error in double patterning integration schemes , 2008, SPIE Advanced Lithography.

[15]  Jae-Seok Yang,et al.  Overlay aware interconnect and timing variation modeling for Double Patterning Technology , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[16]  Puneet Gupta,et al.  Design-overlay interactions in metal double patterning , 2009, Advanced Lithography.

[17]  Andrew B. Kahng,et al.  On switch factor based analysis of coupled RC interconnects , 2000, Proceedings 37th Design Automation Conference.