Complementary-FET (CFET) Standard Cell Synthesis Framework for Design and System Technology Co-Optimization Using SMT

With the relentless scaling of technology nodes, design technology co-optimization (DTCO) for the conventional (Conv.) cell structure is starting to reach its limitations due to limited routing resources, lateral p-n separations, and performance requirements. As a result, system technology co-optimization (STCO) has been proposed to exploit the benefits of 3-D architectures. Complementary-FET (CFET) technology, which stacks p-FET on n-FET or vice versa, can release the restriction of p-n separation and reduce in-cell routing congestion by enabling p-n direct connections. However, CFET standard cell (SDC) synthesis demands holistic considerations to maximize the area benefit of scaling at the block level due to the extremely limited routability that comes from the stacked structure and reduced cell height. In this article, we propose a satisfiability modulo theory (SMT)-based CFET SDC synthesis framework that simultaneously solves place-and-route to generate optimized layouts. We first demonstrate that the CFET structure achieves 10.94% and 21.27% reduction on average cell area and metal length, respectively, and 15.10% smaller block-level area compared to Conv. structure as scaling down to 3.5T architecture. For routability, the proposed constraint-based minimum pin length/minimum pin opening and objective-based edge-based pin-separation/M2 track use reduce up to 48% #DRVs at the block level compared to the previous work. Then, through extensive DTCO explorations on ground design rules and #BEOLs, 3.5T CFET SDCs achieve up to 6.50% smaller block-level areas than 4.5T CFET SDCs. Finally, with the assistance of STCO and DTCO, 3.5T CFET SDCs achieve 21.0% on average reduced block-level areas compared to 4.5T Conv. SDCs.

[1]  Bei Yu,et al.  Standard Cell Layout Regularity and Pin Access Optimization Considering Middle-of-Line , 2015, ACM Great Lakes Symposium on VLSI.

[2]  Chung-Kuan Cheng,et al.  ROAD: Routability Analysis and Diagnosis Framework Based on SAT Techniques , 2019, ISPD.

[3]  Rajiv V. Joshi,et al.  Design technology co-optimization for 10 nm and beyond , 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

[4]  J. Ryckaert,et al.  Novel forksheet device architecture as ultimate logic scaling device towards 2nm , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

[5]  Srilata Raman,et al.  CELLERITY: a fully automatic layout synthesis system for standard cell libraries , 1997, DAC.

[6]  Saurabh Sinha,et al.  ASAP7: A 7-nm finFET predictive process design kit , 2016, Microelectron. J..

[7]  Bill Lin,et al.  Grid-Based Framework for Routability Analysis and Diagnosis With Conditional Design Rules , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Chung-Kuan Cheng,et al.  Standard-Cell Scaling Framework with Guaranteed Pin-Accessibility , 2020, 2020 IEEE International Symposium on Circuits and Systems (ISCAS).

[9]  Chung-Kuan Cheng,et al.  A Routability-Driven Complimentary-FET (CFET) Standard Cell Synthesis Framework using SMT , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

[10]  Bill Lin,et al.  SP&R: Simultaneous Placement and Routing framework for standard cell synthesis in sub-7nm , 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

[11]  Jannik Silvanus,et al.  Automatic Cell Layout in the 7nm Era , 2017, ISPD.

[12]  Harry J. Levinson,et al.  Self-aligned double patterning (SADP) compliant design flow , 2012, Advanced Lithography.

[13]  Adriel Ziesemer,et al.  Simultaneous Two-Dimensional Cell Layout Compaction Using MILP with ASTRAN , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

[14]  Anton Sorokin,et al.  Pin Access-Driven Design Rule Clean and DFM Optimized Routing of Standard Cells under Boolean Constraints , 2019, ISPD.

[15]  Diederik Verkest,et al.  IMEC N7, N5 and beyond: DTCO, STCO and EUV insertion strategy to maintain affordable scaling trend , 2018, Advanced Lithography.

[16]  Chia-Tung Ho,et al.  DTCO acceleration to fight scaling stagnation , 2020 .

[17]  Diederik Verkest,et al.  CFET standard-cell design down to 3Track height for node 3nm and below , 2019, Advanced Lithography.

[18]  Nikolaj Bjørner,et al.  νZ - An Optimizing SMT Solver , 2015, TACAS.

[19]  Diederik Verkest,et al.  Standard-cell design architecture options below 5nm node: The ultimate scaling of FinFET and Nanosheet , 2019, Advanced Lithography.

[20]  Yih-Lang Li,et al.  NCTUcell: A DDA-Aware Cell Library Generator for FinFET Structure with Implicitly Adjustable Grid Map , 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

[21]  Taewhan Kim,et al.  Design Rule Evaluation Framework Using Automatic Cell Layout Generator for Design Technology Co-Optimization , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Christopher J. Wilson,et al.  High-Aspect-Ratio Ruthenium Lines for Buried Power Rail , 2018, 2018 IEEE International Interconnect Technology Conference (IITC).

[23]  Diederik Verkest,et al.  DTCO exploration for efficient standard cell power rails , 2018, Advanced Lithography.

[24]  Youngsoo Shin,et al.  Pin accessibility-driven cell layout redesign and placement optimization , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[25]  Chung-Kuan Cheng,et al.  Fast and Precise Routability Analysis with Conditional Design Rules , 2018, 2018 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

[26]  Lawrence T. Clark,et al.  Design flows and collateral for the ASAP7 7nm FinFET predictive process design kit , 2017, 2017 IEEE International Conference on Microelectronic Systems Education (MSE).

[27]  David Z. Pan,et al.  PARR: Pin access planning and regular routing for self-aligned double patterning , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[28]  J. Ryckaert,et al.  Extending the roadmap beyond 3nm through system scaling boosters: A case study on Buried Power Rail and Backside Power Delivery , 2019, 2019 Electron Devices Technology and Manufacturing Conference (EDTM).