Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access
暂无分享,去创建一个
Zhen Fang | Ravi Iyer | Rajeev Balasubramonian | Niladrish Chatterjee | Ramesh Illikkal | Al Davis | Manjunath Shevgoor | R. Balasubramonian | Niladrish Chatterjee | R. Iyer | A. Davis | R. Illikkal | Manjunath Shevgoor | Zhen Fang
[1] Sriram Sankar,et al. Server Engineering Insights for Large-Scale Online Services , 2010, IEEE Micro.
[2] Thomas F. Wenisch,et al. PowerNap: eliminating server idle power , 2009, ASPLOS.
[3] David W. Nellans,et al. Micro-pages: increasing DRAM efficiency with locality-aware data placement , 2010, ASPLOS XV.
[4] Seth H. Pugsley,et al. USIMM : the Utah SImulated Memory Module , 2012 .
[5] Jung-Bae Lee,et al. A 1.2V 30nm 1.6Gb/s/pin 4Gb LPDDR3 SDRAM with input skew calibration and enhanced control scheme , 2012, 2012 IEEE International Solid-State Circuits Conference.
[6] Mark Horowitz,et al. Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis , 2010, ISCA.
[7] H. W. Carter,et al. Critical words cache memory: exploiting criticality within primary cache miss streams , 2008 .
[8] David A. Patterson,et al. Computer Architecture, Fifth Edition: A Quantitative Approach , 2011 .
[9] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[10] S. Phadke,et al. MLP aware heterogeneous memory system , 2011, 2011 Design, Automation & Test in Europe.
[11] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[12] Zhao Zhang,et al. Design and optimization of large size and low overhead off-chip caches , 2004, IEEE Transactions on Computers.
[13] Parag Agrawal,et al. The case for RAMClouds: scalable high-performance storage entirely in DRAM , 2010, OPSR.
[14] Thomas Vogelsang,et al. Understanding the Energy Consumption of Dynamic Random Access Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[15] Lizy Kurian John,et al. Synthesizing memory-level parallelism aware miniature clones for SPEC CPU2006 and ImplantBench workloads , 2010, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS).
[16] YangJun,et al. A durable and energy efficient main memory using phase change memory technology , 2009 .
[17] David A. Patterson,et al. Computer Architecture - A Quantitative Approach (4. ed.) , 2007 .
[18] Christoforos E. Kozyrakis,et al. Future scaling of processor-memory interfaces , 2009, Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis.
[19] Norman P. Jouppi,et al. Rethinking DRAM design and organization for energy-constrained multi-cores , 2010, ISCA.
[20] Tao Li,et al. Exploring Phase Change Memory and 3D Die-Stacking for Power/Thermal Friendly, Fast and Durable Memory Architectures , 2009, 2009 18th International Conference on Parallel Architectures and Compilation Techniques.
[21] Jun Yang,et al. A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.
[22] Trevor N. Mudge,et al. A performance comparison of contemporary DRAM architectures , 1999, ISCA.
[23] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.
[24] Mark D. Hill,et al. Efficiently enabling conventional block sizes for very large die-stacked DRAM caches , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[25] Yuan Xie,et al. Simple but Effective Heterogeneous Main Memory with On-Chip Memory Controller Support , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[26] Tajana Simunic,et al. PDRAM: A hybrid PRAM and DRAM main memory system , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[27] Christoforos E. Kozyrakis,et al. Towards energy-proportional datacenter memory with mobile DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[28] Kyu Ho Park,et al. Power-aware memory management for hybrid main memory , 2011, The 2nd International Conference on Next Generation Information Technology.
[29] Jichuan Chang,et al. BOOM: Enabling mobile memory based low-power server DIMMs , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[30] Aamer Jaleel,et al. DRAMsim: a memory system simulator , 2005, CARN.
[31] J. Thomas Pawlowski,et al. Hybrid memory cube (HMC) , 2011, 2011 IEEE Hot Chips 23 Symposium (HCS).
[32] Ricardo Bianchini,et al. Page placement in hybrid memory systems , 2011, ICS '11.
[33] Yan Solihin,et al. CHOP: Integrating DRAM Caches for CMP Server Platforms , 2011, IEEE Micro.
[34] V. Cuppu,et al. A performance comparison of contemporary DRAM architectures , 1999, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367).
[35] Kieran McLaughlin,et al. An RLDRAM II Implementation of a 10Gbps Shared Packet Buffer for Network Processing , 2007, Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007).
[36] Bruce Jacob,et al. Memory Systems: Cache, DRAM, Disk , 2007 .
[37] Eduardo Pinheiro,et al. DRAM errors in the wild: a large-scale field study , 2009, SIGMETRICS '09.
[38] Fredrik Larsson,et al. Simics: A Full System Simulation Platform , 2002, Computer.
[39] David H. Bailey,et al. The Nas Parallel Benchmarks , 1991, Int. J. High Perform. Comput. Appl..