Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements
暂无分享,去创建一个
[1] Norman P. Jouppi,et al. CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.
[2] Shubhendu S. Mukherjee,et al. Transient fault detection via simultaneous multithreading , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[3] Antonio J. Acosta,et al. Logical modelling of delay degradation effect in static CMOS gates , 2000 .
[4] G. R. Srinivasan,et al. Soft-error Monte Carlo modeling program, SEMM , 1996, IBM J. Res. Dev..
[5] T. Sugii,et al. Impact of cosmic ray neutron induced soft errors on advanced submicron CMOS circuits , 1996, 1996 Symposium on VLSI Technology. Digest of Technical Papers.
[6] Peter Hazucha. Background radiation and soft errors in CMOS circuits , 2000 .
[7] M. Baze,et al. Attenuation of single event induced pulses in CMOS combinational logic , 1997 .
[8] K. Johansson,et al. In-flight and ground testing of single event upset sensitivity in static RAMs , 1997 .
[9] Mark Horowitz,et al. Timing Models for MOS Circuits , 1983 .
[10] Johan Karlsson,et al. On latching probability of particle induced transients in combinational networks , 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.
[11] Yoshiharu Tosaka,et al. Measurement and analysis of neutron-induced soft errors in sub-half-micron CMOS circuits , 1998 .
[12] P. Hazucha,et al. Impact of CMOS technology scaling on the atmospheric neutron soft error rate , 2000 .
[13] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[14] Bharat L. Bhuva,et al. Analysis of single-event effects in combinational logic-simulation of the AM2901 bitslice processor , 2000 .
[15] Neeraj Suri,et al. Designing high-performance and reliable superscalar architectures-the out of order reliable superscalar (O3RS) approach , 2000, Proceeding International Conference on Dependable Systems and Networks. DSN 2000.
[16] Richard E. Kessler,et al. The Alpha 21264 microprocessor , 1999, IEEE Micro.
[17] Leo B. Freeman. Critical charge calculations for a bipolar SRAM array , 1996, IBM J. Res. Dev..
[18] James C. Pickel,et al. Effect of CMOS Miniaturization on Cosmic-Ray-Induced Error Rate , 1982, IEEE Transactions on Nuclear Science.
[19] David J. Sager,et al. The microarchitecture of the Pentium 4 processor , 2001 .
[20] Jiri Gaisler. Evaluation of a 32-bit microprocessor with built-in concurrent error-detection , 1997, Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing.
[21] Changhong Dai,et al. Impact of CMOS process scaling and SOI on the soft error rates of logic processes , 2001, 2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.01 CH37184).
[22] B. Davari. CMOS technology scaling, 0.1 /spl mu/m and beyond , 1996, International Electron Devices Meeting. Technical Digest.
[23] J. F. Ziegler,et al. Terrestrial cosmic ray intensities , 1998, IBM J. Res. Dev..
[24] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[25] T. Juhnke,et al. Calculation of the Soft Error Rate of Submicron CMOS Logic Circuits , 1994, ESSCIRC '94: Twientieth European Solid-State Circuits Conference.
[26] M. Baze,et al. Comparison of error rates in combinational and sequential logic , 1997 .
[27] Eric Rotenberg,et al. AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[28] James F. Ziegler,et al. Terrestrial cosmic rays , 1996, IBM J. Res. Dev..
[29] E. A. Burke,et al. Calculation of Cosmic-Ray Induced Soft Upsets and Scaling in VLSI Devices , 1982, IEEE Transactions on Nuclear Science.
[30] R. Hokinson,et al. Historical trend in alpha-particle induced soft error rates of the Alpha/sup TM/ microprocessor , 2001, 2001 IEEE International Reliability Physics Symposium Proceedings. 39th Annual (Cat. No.00CH37167).
[31] J. Maiz,et al. Alpha-SER modeling and simulation for sub-0.25 /spl mu/m CMOS technology , 1999, 1999 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.99CH36325).
[32] Janak H. Patel,et al. A logic-level model for /spl alpha/-particle hits in CMOS circuits , 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.
[33] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.