MERAM: Non-Volatile Cache Memory Based on Magneto-Electric FETs

Magneto-Electric FET (MEFET) is a recently developed post-CMOS FET, which offers intriguing characteristics for high speed and low-power design in both logic and memory applications. In this paper, for the first time, we propose a non-volatile 2T-1MEFET memory bit-cell with separate read and write paths. We show that with proper co-design at the device, cell and array levels, such a design is a promising candidate for fast non-volatile cache memory, termed as MERAM. To further evaluate its performance in memory system, we, for the first time, build a device-to-architecture cross-layer evaluation framework based on an experimentally-calibrated MEFET device model to quantitatively analyze and benchmark the proposed MERAM design with other memory technologies, including both volatile memory (i.e. SRAM, eDRAM) and other popular non-volatile emerging memory (i.e. ReRAM, STT-MRAM, and SOT-MRAM). The experiment results show that MERAM has a high state distinguishability with almost 36x magnitude difference in sense current. Results for the PARSEC benchmark suite indicate that as an L2 cache alternative, MERAM reduces Energy Area Latency (EAT) product on average by ~98\% and ~70\% compared with typical 6T SRAM and 2T SOT-MRAM platforms, respectively.

[1]  A. Javey,et al.  High-performance single layered WSe₂ p-FETs with chemically doped contacts. , 2012, Nano letters.

[2]  Shaahin Angizi,et al.  IMCE: Energy-efficient bit-wise in-memory convolution engine for deep neural network , 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

[3]  E. Mohandas,et al.  Crystallographic texture study of pulsed laser deposited Cr2O3 thin films , 2018, Thin Solid Films.

[4]  Ryoichi Nakatani,et al.  Magnetoelectric switching of perpendicular exchange bias in Pt/Co/α-Cr2O3/Pt stacked films , 2015 .

[5]  Behzad Razavi,et al.  The StrongARM Latch [A Circuit for All Seasons] , 2015, IEEE Solid-State Circuits Magazine.

[6]  Bin Wu,et al.  OpenRAM: An open-source memory compiler , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[7]  D. E. Nikonov,et al.  Magneto-electric antiferromagnetic spin–orbit logic devices , 2020 .

[8]  J. Appenzeller,et al.  FeTRAM. An organic ferroelectric material based novel random access memory cell. , 2011, Nano letters.

[9]  Shyamkumar Thoziyoor,et al.  CACTI 5 . 1 , 2008 .

[10]  H. Ohno,et al.  A spin-orbit torque switching scheme with collinear magnetic easy axis and current configuration. , 2016, Nature nanotechnology.

[11]  Jagdish Narayan,et al.  Strain induced ferromagnetism in epitaxial Cr2O3 thin films integrated on Si(001) , 2014 .

[12]  Shaahin Angizi,et al.  CMP-PIM: An Energy-Efficient Comparator-based Processing-In-Memory Neural Network Accelerator , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[13]  D. Ralph,et al.  Comment on "Spin-Orbit Logic with Magnetoelectric Nodes: A Scalable Charge Mediated Nonvolatile Spintronic Logic" (arXiv:1512.05428) , 2016, 1607.06690.

[14]  Hisashi Shima,et al.  Resistive Random Access Memory (ReRAM) Based on Metal Oxides , 2010, Proceedings of the IEEE.

[15]  Kaushik Roy,et al.  Layout-aware optimization of stt mrams , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Amro Awad,et al.  MRIMA: An MRAM-Based In-Memory Accelerator , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Xiaobo Sharon Hu,et al.  Accelerating Deep Neural Networks in Processing-in-Memory Platforms: Analog or Digital Approach? , 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[18]  Michael T. Niemier,et al.  Computing in memory with FeFETs , 2018, ISLPED.

[19]  K. Belashchenko Equilibrium magnetization at the boundary of a magnetoelectric antiferromagnet. , 2010, Physical review letters.

[20]  Yiran Chen,et al.  Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[21]  Suman Datta,et al.  A Circuit Compatible Accurate Compact Model for Ferroelectric-FETs , 2018, 2018 IEEE Symposium on VLSI Technology.

[22]  Dmitri E. Nikonov,et al.  Benchmarking of Beyond-CMOS Exploratory Devices for Logic Integrated Circuits , 2015, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

[23]  Michael Niemier,et al.  Modeling and Benchmarking Computing-in-Memory for Design Space Exploration , 2020, ACM Great Lakes Symposium on VLSI.

[24]  Shaahin Angizi,et al.  PIMA-Logic: A Novel Processing-in-Memory Architecture for Highly Flexible and Energy-Efficient Logic Computation , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[25]  Andrew Marshall,et al.  Compact Modeling and Design of Magneto-Electric Transistor Devices and Circuits , 2018, 2018 31st IEEE International System-on-Chip Conference (SOCC).

[26]  Andrew Marshall,et al.  Verilog-A based compact modeling of the magneto-electric FET device , 2017, 2017 Fifth Berkeley Symposium on Energy Efficient Electronic Systems & Steep Transistors Workshop (E3S).

[27]  Dmitri E. Nikonov,et al.  Spin-Orbit Logic with Magnetoelectric Nodes: A Scalable Charge Mediated Nonvolatile Spintronic Logic , 2015, 1512.05428.

[28]  Kang L. Wang,et al.  Towards a Strong Spin–Orbit Coupling Magnetoelectric Transistor , 2018, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

[29]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  Nishtha Sharma,et al.  Novel ring oscillator design using ME-MTJ based devices , 2017, 2017 Fifth Berkeley Symposium on Energy Efficient Electronic Systems & Steep Transistors Workshop (E3S).

[31]  Shaloo Rakheja,et al.  Reversal Time of Jump-Noise Dynamics for Large Nucleation , 2018, IEEE Transactions on Magnetics.

[32]  Nishtha Sharma,et al.  Evolving magneto-electric device technologies , 2020, Semiconductor Science and Technology.

[33]  Denys Makarov,et al.  Purely antiferromagnetic magnetoelectric random access memory , 2016, Nature Communications.

[34]  Tsuyoshi Kimura,et al.  Magnetoelectric hysteresis loops in Cr 2 O 3 at room temperature , 2013 .

[35]  Shaahin Angizi,et al.  GraphS: A Graph Processing Accelerator Leveraging SOT-MRAM , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[36]  Azad Naeemi,et al.  Complementary Logic Implementation for Antiferromagnet Field-Effect Transistors , 2018, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

[37]  A. F. Andreev Macroscopic magnetic fields of antiferromagnets , 1996 .

[38]  Shunfei Chen,et al.  MARSS: A full system simulator for multicore x86 CPUs , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

[39]  Martin Trentzsch,et al.  Design and Analysis of an Ultra-Dense, Low-Leakage, and Fast FeFET-Based Random Access Memory Array , 2019, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

[40]  Narayanan Vijaykrishnan,et al.  Nonvolatile memory design based on ferroelectric FETs , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[41]  Zhixian Zhou,et al.  Low-Resistance 2D/2D Ohmic Contacts: A Universal Approach to High-Performance WSe2, MoS2, and MoSe2 Transistors. , 2016, Nano letters.

[42]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[43]  Kaushik Roy,et al.  Spin-Transfer Torque Devices for Logic and Memory: Prospects and Perspectives , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[44]  M. P. Anantram,et al.  Modeling of Nanoscale Devices , 2008, Proceedings of the IEEE.