Simulation of material and processing effects on photoresist line-edge roughness

Sub-100 nm device fabrication rules require extremely tight control of Line-Edge Roughness (LER) of patterned structures. During lithographic processes the resist film introduces an initial LER due to its chemical structure and processing. This initial LER evolves during the subsequent etching step. A stochastic simulator is presented which takes into account material and process effects on photoresist LER. Its application in model cases reveals that LER decreases with lower degree of polymerisation, but is sensitive on the acid diffusion process during post exposure bake in Chemically Amplified Resists (CARs). Further simulations confirm that LER can be reduced during etch patterning, at the expense of critical dimension control.

[1]  Evangelos Gogolides,et al.  Effects of model polymer chain architectures and molecular weight of conventional and chemically amplified photoresists on line-edge roughness. Stochastic simulations , 2006 .

[2]  Hyun-Woo Kim,et al.  Experimental investigation of the impact of LWR on sub-100-nm device performance , 2004, IEEE Transactions on Electron Devices.

[3]  George P. Patsis Stochastic simulation of thin photoresist film dissolution: a dynamic and a quasi-static dissolution algorithm for the simulation of surface and line-edge roughness formation , 2005 .

[4]  Evangelos Gogolides,et al.  Photoresist line-edge roughness analysis using scaling concepts , 2003, SPIE Advanced Lithography.

[5]  Angeliki Tserepi,et al.  Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors , 2003 .

[6]  J.C.S. Woo,et al.  TCAD-based statistical analysis and modeling of gate line-edge roughness effect on nanoscale MOS transistor performance and scaling , 2004, IEEE Transactions on Semiconductor Manufacturing.

[7]  Evangelos Gogolides,et al.  Monte Carlo simulation of gel formation and surface and line-edge roughness in negative tone chemically amplified resists , 2003 .

[8]  Evangelos Gogolides,et al.  Effects of Photoresist Polymer Molecular Weight and Acid-Diffusion on Line-Edge Roughness , 2005 .

[9]  Kurt G. Ronse,et al.  Influence of gate patterning on line edge roughness , 2003 .

[10]  L. E. Ocola Soluble site density model for negative and positive chemically amplified resists , 2003 .

[11]  Material and process effects on line-edge-roughness of photoresists probed with a fast stochastic lithography simulator , 2005 .

[12]  Shigeru Moriya,et al.  Study of the acid-diffusion effect on line edge roughness using the edge roughness evaluation method , 2002 .

[13]  Mosong Cheng,et al.  Modeling influence of structural changes in photoacid generators on 193 nm single layer resist imaging , 2000 .

[14]  Andrew R. Brown,et al.  Intrinsic fluctuations in sub 10-nm double-gate MOSFETs introduced by discreteness of charge and matter , 2002 .

[15]  Jonathan L. Cobb,et al.  Current Status of EUV Photoresists , 2003 .

[16]  P. Fisher,et al.  Is gate line edge roughness a first-order issue in affecting the performance of deep sub-micro bulk MOSFET devices? , 2004, IEEE Transactions on Semiconductor Manufacturing.

[17]  E. Gogolides,et al.  Effects of model polymer chain architectures of photo-resists on line-edge-roughness: Monte Carlo simulations , 2005 .

[18]  Martha I. Sanchez,et al.  Kinetic Model for Positive Tone Resist Dissolution and Roughening , 2002 .

[19]  Evangelos Gogolides,et al.  Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations , 2004 .

[20]  G. P. Patsis Monte Carlo study of surface and line-width roughness of resist film surfaces during dissolution , 2005, Math. Comput. Simul..

[21]  Evangelos Gogolides,et al.  Line edge roughness investigation on chemically amplified resist materials with masked Helium ion beam lithography , 2004 .

[22]  Shaofeng Yu,et al.  3D Modelling of Fluctuation Effects in Highly Scaled VLSI Devices , 2001, VLSI Design.

[23]  Yoshikazu Yamaguchi,et al.  Influence of Acid Diffusion Length on Line Edge Roughness in KrF Photoresists , 2004 .

[24]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[25]  T. Yamada,et al.  Line Edge Roughness of Developed Resist with Low-Dose Electron Beam Exposure , 2001, Digest of Papers. Microprocesses and Nanotechnology 2001. 2001 International Microprocesses and Nanotechnology Conference (IEEE Cat. No.01EX468).

[26]  Franco Cerrina,et al.  Depth dependence of resist line-edge roughness: Relation to photoacid diffusion length , 2002 .

[27]  C.H. Diaz,et al.  An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling , 2001, IEEE Electron Device Letters.

[28]  J. Bokor,et al.  A simulation study of gate line edge roughness effects on doping profiles of short-channel MOSFET devices , 2004, IEEE Transactions on Electron Devices.

[29]  Lewis W. Flanagin,et al.  Molecular model of phenolic polymer dissolution in photolithography , 1999 .