Survey of NoC and Programming Models Proposals for MPSoC

The aim of this paper is to give briefing of the concept of network-on-chip and programming model topics on multiprocessors system-on-chip world, an attractive and relatively new field for academia. Numerous proposals from academia and industry are selected to highlight the evolution of the implementation approaches both on NoC proposals and on programming models proposals.

[1]  K. Orthner Applying the Benefits of Network on a Chip Architecture to FPGA System Design Intel ® FPGA , 2010 .

[2]  Stephen B. Furber,et al.  Chain: A Delay-Insensitive Chip Area Interconnect , 2002, IEEE Micro.

[3]  H. Lhermet,et al.  An Asynchronous Power Aware and Adaptive NoC Based Circuit , 2009, IEEE Journal of Solid-State Circuits.

[4]  William Gropp,et al.  Skjellum using mpi: portable parallel programming with the message-passing interface , 1994 .

[5]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[6]  Muhammad E. S. Elrabaa,et al.  A High-Throughput Network-on-Chip Architecture for Systems-on-Chip Interconnect , 2006, 2006 International Symposium on System-on-Chip.

[7]  Diederik Verkest,et al.  Spatial division multiplexing: a novel approach for guaranteed throughput on NoCs , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[8]  Charles E. Leiserson,et al.  The Cilk++ concurrency platform , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[9]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[10]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[11]  S. Evain,et al.  μ spider: a CAD tool for efficient NoC design , 2004, Proceedings Norchip Conference, 2004..

[12]  Najah Naffah,et al.  Multimedia applications , 1990, Computer Communications.

[13]  Philippe Martin Design of a virtual component neutral network-on-chip transaction layer , 2005, Design, Automation and Test in Europe.

[14]  Norbert Wehn,et al.  Designing Efficient Irregular Networks for Heterogeneous Systems-on-Chip , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[15]  Timothy Mark Pinkston,et al.  Characterizing the Cell EIB On-Chip Network , 2007, IEEE Micro.

[16]  Jari Nurmi,et al.  On-Line Reconfigurable XGFT Network-on-Chip Designed for Improving the Fault-Tolerance and Manufacturability of the MPSoC Chips , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[17]  David Castells-Rufas,et al.  MPSoC Performance Analysis with Virtual Prototyping Platforms , 2010, 2010 39th International Conference on Parallel Processing Workshops.

[18]  Alberto L. Sangiovanni-Vincentelli,et al.  Coping with Latency in SOC Design , 2002, IEEE Micro.

[19]  Bradley C. Kuszmaul,et al.  Cilk: an efficient multithreaded runtime system , 1995, PPOPP '95.

[20]  Andrew Lines,et al.  Asynchronous interconnect for synchronous SoC design , 2004, IEEE Micro.

[21]  S. Kumar,et al.  Ring road NoC architecture , 2004, Proceedings Norchip Conference, 2004..

[22]  Gerald E. Sobelman,et al.  Design of a High-Performance Scalable CDMA Router for On-Chip Switched Networks , 2005 .

[23]  N.K. Jha,et al.  Toward Ideal On-Chip Communication Using Express Virtual Channels , 2008, IEEE Micro.

[24]  Alberto L. Sangiovanni-Vincentelli,et al.  Addressing the system-on-a-chip interconnect woes through communication-based design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[25]  Fernando Gehm Moraes,et al.  HERMES: an infrastructure for low area overhead packet-switching networks on chip , 2004, Integr..

[26]  Alain Greiner,et al.  Micro-network for SoC: implementation of a 32-port SPIN network , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[27]  James Reinders,et al.  Intel® threading building blocks , 2008 .

[28]  David Castells-Rufas,et al.  NocMaker: A cross-platform open-source design space exploration tool for networks on chip , 2009 .

[29]  Gerard J. M. Smit,et al.  An energy-efficient reconfigurable circuit-switched network-on-chip , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[30]  Drew Wingard MicroNetwork-based integration for SOCs , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[31]  Mike Butts,et al.  Synchronization through Communication in a Massively Parallel Processor Array , 2007, IEEE Micro.

[32]  Alain Greiner,et al.  A Low Cost Network-on-Chip with Guaranteed Service Well Suited to the GALS Approach , 2006, 2006 1st International Conference on Nano-Networks and Workshops.

[33]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[34]  Nicolae Popovici,et al.  Putting intel® threading building blocks to work , 2008, IWMSE '08.

[35]  William J. Dally,et al.  Route packets, not wires: on-chip inteconnection networks , 2001, DAC '01.

[36]  Axel Jantsch,et al.  Networks on chip , 2003 .

[37]  Axel Jantsch,et al.  A network on chip architecture and design methodology , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.

[38]  Hideharu Amano,et al.  Black-Bus: a new data-transfer technique using local address on networks-on-chips , 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

[39]  Luca Benini,et al.  NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.

[40]  Dake Liu,et al.  VLSI implementation of a switch for on-chip networks , 2003 .

[41]  Dhiraj K. Pradhan,et al.  Reliable network-on-chip based on generalized de Bruijn graph , 2007, 2007 IEEE International High Level Design Validation and Test Workshop.

[42]  Bradford L. Chamberlain,et al.  Parallel Programmability and the Chapel Language , 2007, Int. J. High Perform. Comput. Appl..

[43]  Jörg Henkel,et al.  A methodology for design, modeling, and analysis of networks-on-chip , 2005, 2005 IEEE International Symposium on Circuits and Systems.

[44]  Partha Pratim Pande,et al.  High-throughput switch-based interconnect for future SoCs , 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..

[45]  Radu Marculescu,et al.  Communication architecture optimization: making the shortest path shorter in regular networks-on-chip , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[46]  Partha Pratim Pande,et al.  Performance Evaluation for Three-Dimensional Networks-On-Chip , 2007, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07).

[47]  Luciano Lavagno,et al.  Asynchronous on-chip networks , 2005 .

[48]  Stephen B. Furber,et al.  An asynchronous on-chip network router with quality-of-service (QoS) support , 2004, IEEE International SOC Conference, 2004. Proceedings..

[49]  Radu Marculescu,et al.  Design space exploration and prototyping for on-chip multimedia applications , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[50]  Luca Benini,et al.  Powering networks on chips , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[51]  Radu Marculescu,et al.  System-level point-to-point communication synthesis using floorplanning information [SoC] , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[52]  Alain Greiner,et al.  A generic architecture for on-chip packet-switched interconnections , 2000, DATE '00.

[53]  G FriedmanEby,et al.  3-D topologies for networks-on-chip , 2007 .

[54]  Altamiro Amadeu Susin,et al.  RASoC: a router soft-core for networks-on-chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[55]  Timo Hämäläinen,et al.  HIBI Communication Network for System-on-Chip , 2006, J. VLSI Signal Process..

[56]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[57]  Radu Marculescu,et al.  DyAD - smart routing for networks-on-chip , 2004, Proceedings. 41st Design Automation Conference, 2004..

[58]  Fabien Clermidy,et al.  An asynchronous power aware and adaptive NoC based circuit , 2008, 2008 IEEE Symposium on VLSI Circuits.

[59]  Sujit Dey,et al.  An Interconnect Architecture for Networking Systems on Chips , 2002, IEEE Micro.

[60]  Kees G. W. Goossens,et al.  Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip , 2003, DATE.

[61]  Vivek Sarkar,et al.  X10: an object-oriented approach to non-uniform cluster computing , 2005, OOPSLA '05.

[62]  Jürgen Becker,et al.  Message Passing Interface support for the runtime adaptive multi-processor system-on-chip RAMPSoC , 2010, ICSAMOS.

[63]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[64]  Jih-Sheng Shen,et al.  Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[65]  Rudy Lauwereins,et al.  Topology adaptive network-on-chip design and implementation , 2005 .

[66]  Manfred Glesner,et al.  Deadlock-free routing and component placement for irregular mesh-based networks-on-chip , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[67]  George Bosilca,et al.  Open MPI: A High-Performance, Heterogeneous MPI , 2006, 2006 IEEE International Conference on Cluster Computing.

[68]  William Thies,et al.  StreamIt: A Language for Streaming Applications , 2002, CC.

[69]  Hoi-Jun Yoo,et al.  Analysis and implementation of practical, cost-effective networks on chips , 2005, IEEE Design & Test of Computers.

[70]  Paul Chow,et al.  TMD-MPI: An MPI Implementation for Multiple Processors Across Multiple FPGAs , 2006, 2006 International Conference on Field Programmable Logic and Applications.

[71]  L. Dagum,et al.  OpenMP: an industry standard API for shared-memory programming , 1998 .

[72]  Brent Nelson,et al.  PNoC: a flexible circuit-switched NoC for FPGA-based systems , 2006 .

[73]  Mohamed A. Elgamel,et al.  PMCNOC: A Pipelining Multi-channel Central Caching Network-on-chip Communication Architecture Design , 2007, 2007 IEEE Workshop on Signal Processing Systems.

[74]  R. Engelbrecht,et al.  DIGEST of TECHNICAL PAPERS , 1959 .

[75]  Ran Ginosar,et al.  QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..

[76]  Michele Borgatti,et al.  A 0.13/spl mu/m 1Gb/s/channel store-and-forward network on-chip , 2004, IEEE International SOC Conference, 2004. Proceedings..

[77]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[78]  Fabien Clermidy,et al.  An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

[79]  Jörg Henkel,et al.  A design methodology for application-specific networks-on-chip , 2006, TECS.

[80]  Martti Forsell,et al.  A Scalable High-Performance Computing Solution for Networks on Chips , 2002, IEEE Micro.

[81]  Ahmet T. Erdogan,et al.  Architecture of a Dynamically Reconfigurable NoC for Adaptive Reconfigurable MPSoC , 2006, First NASA/ESA Conference on Adaptive Hardware and Systems (AHS'06).

[82]  Fabien Clermidy,et al.  A Reconfigurable Baseband Platform Based on an Asynchronous Network-on-Chip , 2008, IEEE Journal of Solid-State Circuits.

[83]  Marcello Coppola,et al.  Application-Specific Topology Design Customization for STNoC , 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).

[84]  Chita R. Das,et al.  A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[85]  Anthony Chun,et al.  Architecture of the Scalable Communications Core's Network on Chip , 2007, IEEE Micro.

[86]  Hsin-Chou Chi,et al.  Design and implementation of a routing switch for on-chip interconnection networks , 2004, Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits.

[87]  George Bosilca,et al.  Open MPI: Goals, Concept, and Design of a Next Generation MPI Implementation , 2004, PVM/MPI.

[88]  Russell Tessier,et al.  An architecture and compiler for scalable on-chip communication , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[89]  Christophe Bobda,et al.  SoC-MPI: A Flexible Message Passing Library for Multiprocessor Systems-on-Chips , 2008, 2008 International Conference on Reconfigurable Computing and FPGAs.

[90]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[91]  J. Carrabina,et al.  A Validation And Performance Evaluation Tool for ProtoNoC , 2006, 2006 International Symposium on System-on-Chip.

[92]  Arun Janarthanan,et al.  MoCSYS: A Multi-Clock Hybrid Two-Layer Router Architecture and Integrated Topology Synthesis Framework for System-Level Design of FPGA Based On-Chip Networks , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[93]  Simon W. Moore,et al.  The design and implementation of a low-latency on-chip network , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[94]  David Castells-Rufas,et al.  xENoC - An eXperimental Network-On-Chip Environment for Parallel Distributed Computing on NoC-based MPSoC Architectures , 2008, 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing (PDP 2008).

[95]  Timo Hämäläinen,et al.  On network-on-chip comparison , 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).

[96]  Karthikeyan Sankaralingam,et al.  On-Chip Interconnection Networks of the TRIPS Chip , 2007, IEEE Micro.

[97]  Chita R. Das,et al.  A hybrid SoC interconnect with dynamic TDMA-based transaction-less buses and on-chip networks , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[98]  Li-Shiuan Peh,et al.  Polaris: A System-Level Roadmapping Toolchain for On-Chip Interconnection Networks , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[99]  Hyuk-Jae Lee,et al.  A new multi-channel on-chip-bus architecture for system-on-chips , 2004, IEEE International SOC Conference, 2004. Proceedings..

[100]  Gerard J. M. Smit,et al.  A virtual channel network-on-chip for GT and BE traffic , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[101]  The International Journal of High Performance Computing Applications— , 1998 .

[102]  Eby G. Friedman,et al.  3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[103]  Hoi-Jun Yoo,et al.  Low-power network-on-chip for high-performance SoC design , 2006, IEEE Trans. Very Large Scale Integr. Syst..