Design of a Bufferless Photonic Clos Network-on-Chip Architecture
暂无分享,去创建一个
[1] Ashwin Gumaste,et al. A practical fast parallel routing architecture for Clos networks , 2006, 2006 Symposium on Architecture For Networking And Communications Systems.
[2] Andrew B. Kahng,et al. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[3] Hans Sohlström,et al. High quality optical microring resonators in Si3N 4/SiO2 , 2008 .
[4] George Kurian,et al. Graphite: A distributed parallel simulator for multicores , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[5] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[6] Yuan Xie,et al. Simple but Effective Heterogeneous Main Memory with On-Chip Memory Controller Support , 2010, 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis.
[7] Niraj K. Jha,et al. A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS , 2007, ICCD.
[8] J. Bowers,et al. High performance Ge/Si avalanche photodiodes development in intel , 2011, 2011 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference.
[9] Qianfan Xu,et al. 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.
[10] Luca P. Carloni,et al. On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[11] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[12] Gilbert Hendry,et al. Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis , 2010, Journal of Lightwave Technology.
[13] Keren Bergman,et al. Optical interconnection networks for high-performance computing systems , 2012, Reports on progress in physics. Physical Society.
[14] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[15] Nick McKeown,et al. The iSLIP scheduling algorithm for input-queued switches , 1999, TNET.
[16] William J. Dally,et al. Flattened Butterfly Topology for On-Chip Networks , 2007, IEEE Comput. Archit. Lett..
[17] Wei Zhang,et al. A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip , 2012, JETC.
[18] Avinash Karanth Kodi,et al. Power-Efficient and High-Performance Multi-level Hybrid Nanophotonic Interconnect for Multicores , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[19] Qianfan Xu,et al. Silicon microring resonators with 1.5-μm radius , 2008 .
[20] Norman P. Jouppi,et al. Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0 , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[21] Stephen W. Keckler,et al. Realistic Workload Characterization and Analysis for Networks-on-Chip Design , 2009 .
[22] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[23] Richard M. Karp,et al. A n^5/2 Algorithm for Maximum Matchings in Bipartite Graphs , 1971, SWAT.
[24] Cary Gunn,et al. CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.
[25] I Chih-Lin,et al. Performance analysis of a growable architecture for broad-band packet (ATM) switching , 1992 .
[26] Hung-Hsiang Jonathan Chao,et al. Centralized contention resolution schemes for a large-capacity optical ATM switch , 1998, 1998 IEEE ATM Workshop Proceedings. 'Meeting the Challenges of Deploying the Global Broadband Network Infrastructure' (Cat. No.98EX164).
[27] A. Lui,et al. Propagation losses of silicon nitride waveguides in the near-infrared range , 2005 .
[28] Keren Bergman,et al. Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors , 2011, JETC.
[29] Samuel P. Morgan,et al. Input Versus Output Queueing on a Space-Division Packet Switch , 1987, IEEE Trans. Commun..
[30] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[31] I Chih-Lin,et al. Performance analysis of a growable architecture for broadband packet (ATM) switching , 1989, IEEE Global Telecommunications Conference, 1989, and Exhibition. 'Communications Technology for the 1990s and Beyond.
[32] Christopher Batten,et al. Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.
[33] Gu-Yeon Wei,et al. Process Variation Tolerant 3T1D-Based Cache Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[34] C.L. Schow,et al. Ge-on-SOI-Detector/Si-CMOS-Amplifier Receivers for High-Performance Optical-Communication Applications , 2007, Journal of Lightwave Technology.
[35] William J. Dally,et al. Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.
[36] Shaahin Hessabi,et al. All-optical wavelength-routed NoC based on a novel hierarchical topology , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.