Test data compression using interval broadcast scan for embedded cores

The paper proposes a new test data compression scheme for testing embedded cores with multiple scan chains. The new compression scheme allows broadcasting identical test data to several scan chains whenever the cells in the same depth are compatible for the current application test pattern. Thus, it efficiently utilizes the compatibility of the scan cells among the scan chain segments, increases test data run in broadcast mode and reduces test data volume and test application time effectively. It does not need complex compressing algorithm and costly hardware. Experimental results demonstrate the efficiency and versatility of the proposed method.

[1]  Janak H. Patel,et al.  An incremental algorithm for test generation in Illinois scan architecture based designs , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[2]  Rohit Kapur,et al.  Efficient compression of deterministic patterns into multiple PRPG seeds , 2005, IEEE International Conference on Test, 2005..

[3]  Lung-Jen Lee,et al.  Test Data Compression Using Multi-dimensional Pattern Run-length Codes , 2010, J. Electron. Test..

[4]  Emmanouil Kalligeros,et al.  Single and Variable-State-Skip LFSRs: Bridging the Gap Between Test Data Compression and Test Set Embedding for IP Cores , 2010, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Sying-Jyan Wang,et al.  Multi-Mode Segmented Scan Architecture with Layout-Aware Scan Chain Routing for Test Data and Test Time Reduction , 2006 .

[6]  Janak H. Patel,et al.  Reducing test application time for full scan embedded cores , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).

[7]  Michael S. Hsiao,et al.  Fast circuit topology based method to configure the scan chains in Illinois Scan architecture , 2009, 2009 International Test Conference.

[8]  Aiman H. El-Maleh,et al.  Efficient test compression technique based on block merging , 2008, IET Comput. Digit. Tech..

[9]  Katherine Shu-Min Li,et al.  Scan-Chain Partition for High Test-Data Compressibility and Low Shift Power Under Routing Constraint , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Krishnendu Chakrabarty,et al.  Test Data Compression and Test Resource Partitioning for System-on-a-Chip Using Frequency-Directed Run-Length (FDR) Codes , 2003, IEEE Trans. Computers.

[11]  Janusz Rajski,et al.  Low-Power Scan Operation in Test Compression Environment , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Krishnendu Chakrabarty,et al.  System-on-a-chip test-data compression and decompressionarchitectures based on Golomb codes , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Nilanjan Mukherjee,et al.  Embedded deterministic test , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Dhiraj K. Pradhan,et al.  Layout-aware Illinois Scan design for high fault coverage coverage , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[15]  Sybille Hellebrand,et al.  Data compression for multiple scan chains using dictionaries with corrections , 2004 .

[16]  Shianling Wu,et al.  VirtualScan: Test Compression Technology Using Combinational Logic and One-Pass ATPG , 2008, IEEE Design & Test of Computers.

[17]  Mark Mohammad Tehranipoor,et al.  Nine-coded compression technique for testing embedded cores in SoCs , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Mehrdad Nourani,et al.  RL-huffman encoding for test compression and power reduction in scan applications , 2005, TODE.

[19]  Janak H. Patel,et al.  Test data compression and test time reduction of longest-path-per-gate tests based on Illinois scan architecture , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[20]  Dong Sam Ha,et al.  HOPE: an efficient parallel fault simulator for synchronous sequential circuits , 1992, DAC '92.

[21]  Nur A. Touba,et al.  Test vector decompression via cyclical scan chains and its application to testing core-based designs , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[22]  Eman AlQuraishi,et al.  Average power reduction in compression-based scan designs , 2010, Melecon 2010 - 2010 15th IEEE Mediterranean Electrotechnical Conference.

[23]  Emmanouil Kalligeros,et al.  Test Data Compression Based on Variable-to-Variable Huffman Encoding With Codeword Reusability , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Krishnendu Chakrabarty,et al.  Test data compression for IP embedded cores using selective encoding of scan slices , 2005, IEEE International Conference on Test, 2005..

[25]  Krishnendu Chakrabarty,et al.  Test Data Compression Using Selective Encoding of Scan Slices , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Nur A. Touba,et al.  Reducing test data volume using LFSR reseeding with seed compression , 2002, Proceedings. International Test Conference.

[27]  Xiaolang Yan,et al.  Test data compression using extended frequency-directed run length code based on compatibility , 2010 .

[28]  Rohit Kapur,et al.  Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction , 2008, 2008 Design, Automation and Test in Europe.

[29]  Prabhat Mishra,et al.  Bitmask-Based Code Compression for Embedded Systems , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[30]  J.H. Patel,et al.  Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[31]  Emmanouil Kalligeros,et al.  Multilevel Huffman Coding: An Efficient Test-Data Compression Method for IP Cores , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  Emmanouil Kalligeros,et al.  Optimal Selective Huffman Coding for Test-Data Compression , 2007, IEEE Transactions on Computers.