Energy Aware Scheduling of Aperiodic Real-Time Tasks on Multiprocessor Systems

Multicore and multiprocessor systems with dynamic voltage scaling architectures are being used as one of the solutions to satisfy the growing needs of high performance applications with low power constraints. An important aspect that has propelled this solution is effective task/application scheduling and mapping algorithms for multiprocessor systems. This work proposes an energy aware, offline, probability-based unified scheduling and mapping algorithm for multiprocessor systems, to minimize the number of processors used, maximize the utilization of the processors, and optimize the energy consumption of the multiprocessor system. The proposed algorithm is implemented, simulated and evaluated with synthetic task graphs, and compared with classical scheduling algorithms for the number of processors required, utilization of processors, and energy consumed by the processors for execution of the application task graphs. Category: Smart and intelligent computing

[1]  Dongkun Shin,et al.  Power-aware scheduling of conditional task graphs in real-time multiprocessor systems , 2003, ISLPED '03.

[2]  Manuel Prieto,et al.  Survey of Energy-Cognizant Scheduling Techniques , 2013, IEEE Transactions on Parallel and Distributed Systems.

[3]  Hiroshi Nakamura,et al.  Cooperative shared resource access control for low-power chip multiprocessors , 2009, ISLPED.

[4]  Gang Quan,et al.  Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors , 2001, DAC '01.

[5]  Tajana Simunic,et al.  vGreen: a system for energy efficient computing in virtualized environments , 2009, ISLPED.

[6]  Albert Y. Zomaya,et al.  Energy Conscious Scheduling for Distributed Computing Systems under Different Operating Conditions , 2011, IEEE Transactions on Parallel and Distributed Systems.

[7]  Hiroto Yasuura,et al.  Real-time task scheduling for a variable voltage processor , 1999, Proceedings 12th International Symposium on System Synthesis.

[8]  Rami G. Melhem,et al.  Corollaries to Amdahl's Law for Energy , 2008, IEEE Computer Architecture Letters.

[9]  Rami G. Melhem,et al.  Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems , 2003, IEEE Trans. Parallel Distributed Syst..

[10]  Tajana Simunic,et al.  Dynamic voltage frequency scaling for multi-tasking systems using online learning , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

[11]  Wei Zhang,et al.  Bounding Worst-Case DRAM Performance on Multicore Processors , 2013, J. Comput. Sci. Eng..

[12]  Chaitali Chakrabarti,et al.  Variable voltage task scheduling algorithms for minimizing energy/power , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[13]  Wei Zhang,et al.  Multicore Real-Time Scheduling to Reduce Inter-Thread Cache Interferences , 2013, J. Comput. Sci. Eng..

[14]  Pierre G. Paulin,et al.  Force-Directed Scheduling in Automatic Data Path Synthesis , 1987, 24th ACM/IEEE Design Automation Conference.

[15]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[16]  Hiroshi Nakamura,et al.  Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS , 2007, CARN.

[17]  Scott Shenker,et al.  Scheduling for reduced CPU energy , 1994, OSDI '94.

[18]  Kiyoung Choi,et al.  Power optimization of real-time embedded systems on variable speed processors , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[19]  Yoonmee Doh,et al.  EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems , 2001, CASES '01.

[20]  Hiroshi Nakamura,et al.  Power reduction of chip multi-processors using shared resource control cooperating with DVFS , 2007, 2007 25th International Conference on Computer Design.

[21]  Rami G. Melhem,et al.  Dynamic and aggressive scheduling techniques for power-aware real-time systems , 2001, Proceedings 22nd IEEE Real-Time Systems Symposium (RTSS 2001) (Cat. No.01PR1420).

[22]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[23]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).