Memristor-CNTFET based ternary logic gates

Abstract Multilevel electronic systems offer the reduction of implementation’ complexity, power consumption, and area. Ternary system is a very promising system where more information is represented in the same number of digits compared to the binary systems. In this paper, ternary logic gates and some of their ternary circuit applications are presented using memristors and CNTFET inverter. This integration between memristors and CNTFET offers low static power, small area and high performance. The proposed circuits do not require refreshment like the previously published circuits and are not initial state dependent because the memristors switch between the low resistance and high resistance states according to each input. In addition, we investigate the mathematical analysis of the proposed memristor ternary logic gates circuits. In the circuit simulations, a VTEAM model is used to verify the proposed circuits. Finally, a comparison between the proposed circuits with the previously published implementations is discussed showing better performance in terms of power, delay and area.

[1]  Yong-Bin Kim,et al.  CNTFET-Based Design of Ternary Logic Gates and Arithmetic Circuits , 2011, IEEE Transactions on Nanotechnology.

[2]  Jawar Singh,et al.  Memristor based unbalanced ternary logic gates , 2016 .

[3]  H. Wong,et al.  A Compact Virtual-Source Model for Carbon Nanotube FETs in the Sub-10-nm Regime—Part II: Extrinsic Elements, Performance Assessment, and Design Optimization , 2015, IEEE Transactions on Electron Devices.

[4]  Alex Pappachen James,et al.  Memristive Threshold Logic Face Recognition , 2014, BICA.

[5]  Alex Pappachen James,et al.  Memristive Threshold Logic Circuit Design of Fast Moving Object Detection , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Georgios Ch. Sirakoulis,et al.  Memristor-based combinational circuits: A design methodology for encoders/decoders , 2014, Microelectron. J..

[7]  C. Gerber,et al.  Reproducible switching effect in thin oxide films for memory applications , 2000 .

[8]  D. Stewart,et al.  The missing memristor found , 2008, Nature.

[9]  Uri C. Weiser,et al.  TEAM: ThrEshold Adaptive Memristor Model , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[10]  Keivan Navi,et al.  Design of energy-efficient and robust ternary circuits for nanotechnology , 2011, IET Circuits Devices Syst..

[11]  Eby G. Friedman,et al.  VTEAM – A General Model for Voltage Controlled Memristors , 2014 .

[12]  Keivan Navi,et al.  Robust and energy-efficient carbon nanotube FET-based MVL gates: A novel design approach , 2015, Microelectron. J..

[13]  Rainer Waser,et al.  Realization of Minimum and Maximum Gate Function in Ta2O5-based Memristive Devices , 2016, Scientific Reports.

[14]  Fei Zhou,et al.  Stabilization of multiple resistance levels by current-sweep in SiOx-based resistive switching memory , 2015 .

[15]  Alex Pappachen James,et al.  A Survey of Memristive Threshold Logic Circuits , 2016, IEEE Transactions on Neural Networks and Learning Systems.

[16]  Yong-Bin Kim Integrated circuits design using carbon nanotube field effect transistor , 2016, 2016 International SoC Design Conference (ISOCC).

[17]  Donald Ervin Knuth,et al.  The Art of Computer Programming , 1968 .

[18]  Yao-Feng Chang,et al.  Proton exchange reactions in SiOx-based resistive switching memory: Review and insights from impedance spectroscopy , 2016 .

[19]  F. Lombardi,et al.  A novel CNTFET-based ternary logic gate design , 2009, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems.

[20]  M.H. Kryder,et al.  After Hard Drives—What Comes Next? , 2009, IEEE Transactions on Magnetics.

[21]  Jeyavijayan Rajendran,et al.  Memristor based programmable threshold logic array , 2010, 2010 IEEE/ACM International Symposium on Nanoscale Architectures.

[22]  Georgios Ch. Sirakoulis,et al.  Memristor-Based Nanoelectronic Computing Circuits and Architectures , 2016 .

[23]  Georgios Ch. Sirakoulis,et al.  Boolean Logic Operations and Computing Circuits Based on Memristors , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[24]  Kyoung-Rok Cho,et al.  Memristor-CMOS logic and digital computational components , 2015, Microelectron. J..

[25]  L. Chua Memristor-The missing circuit element , 1971 .

[26]  Andreas Antoniou,et al.  Low power dissipation MOS ternary logic family , 1984 .

[27]  Ameya Riswadkar,et al.  Stateful-NOR based reconfigurable architecture for logic implementation , 2015, Microelectron. J..

[28]  Mayuri Khandelwal,et al.  Design and Performance Comparison of CNTFET-Based Binary and Ternary Logic Inverter and Decoder With 32 nm CMOS Technology , 2017 .

[29]  Alex Pappachen James,et al.  Intraoperative cardiac MRI processing using threshold logic cells , 2014, 2014 International Conference on Advances in Computing, Communications and Informatics (ICACCI).

[30]  A. A. El-Slehdar,et al.  Memristor-based balanced ternary adder , 2013, 2013 25th International Conference on Microelectronics (ICM).

[31]  Ahmed G. Radwan,et al.  Memristor-MOS hybrid circuit redundant multiplier , 2014, 2014 26th International Conference on Microelectronics (ICM).

[32]  Davood Shahrjerdi,et al.  A sub-1-volt analog metal oxide memristive-based synaptic device with large conductance change for energy-efficient spike-based computing systems , 2016, 1603.03979.

[33]  Jeyavijayan Rajendran,et al.  Improving Tolerance to Variations in Memristor-Based Applications Using Parallel Memristors , 2015, IEEE Transactions on Computers.

[34]  Ahmed H. Madian,et al.  Design and analysis of memristor-based min-max circuit , 2015, 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS).

[35]  Fei Zhou,et al.  (Invited) Intrinsic Unipolar SiOx-Based Resistive Switching Memory: Characterization, Mechanism and Applications , 2015 .

[36]  Byung-Gook Park,et al.  Understanding rectifying and nonlinear bipolar resistive switching characteristics in Ni/SiNx/p-Si memory devices , 2017 .

[37]  Ahmed Gomaa Radwan,et al.  Memristor based N-bits redundant binary adder , 2015, Microelectron. J..

[38]  Mohammed E. Fouda,et al.  On the Mathematical Modeling of Memristor, Memcapacitor, and Meminductor , 2015 .

[39]  Jiale Liang,et al.  1D Selection Device Using Carbon Nanotube FETs for High-Density Cross-Point Memory Arrays , 2015, IEEE Transactions on Electron Devices.

[40]  Yong-bin Kim,et al.  Integrated Circuit Design Based on Carbon Nanotube Field Effect Transistor , 2011 .

[41]  Mohamad Rusop Mahmood,et al.  Electrochemically deposited gallium oxide nanostructures on silicon substrates , 2014, Nanoscale Research Letters.

[42]  Earl E. Swartzlander,et al.  Memcomputing (Memristor + Computing) in Intrinsic SiOx-Based Resistive Switching Memory: Arithmetic Operations for Logic Applications , 2017, IEEE Transactions on Electron Devices.

[43]  A. A. El-Slehdar,et al.  Memristor-based redundant binary adder , 2014, 2014 International Conference on Engineering and Technology (ICET).

[44]  Hans G. Kerkhoff,et al.  Multiple-Valued Logic Charge-Coupled Devices , 1981, IEEE Transactions on Computers.

[45]  J. Appenzeller,et al.  Comparing carbon nanotube transistors - the ideal choice: a novel tunneling device design , 2005, IEEE Transactions on Electron Devices.

[46]  S. Kvatinsky,et al.  MRL — Memristor Ratioed Logic , 2012, 2012 13th International Workshop on Cellular Nanoscale Networks and their Applications.

[47]  Anu Gupta,et al.  Design of CNTFET-based 2-bit ternary ALU for nanoelectronics , 2014 .

[48]  Yao-Feng Chang,et al.  Attaining resistive switching characteristics and selector properties by varying forming polarities in a single HfO2-based RRAM device with a vanadium electrode. , 2017, Nanoscale.

[49]  Parviz Keshavarzi,et al.  A novel digital logic implementation approach on nanocrossbar arrays using memristor-based multiplexers , 2014, Microelectron. J..

[50]  Yao-Feng Chang,et al.  Unidirectional threshold resistive switching in Au/NiO/Nb:SrTiO3 devices , 2017 .

[51]  A. Srivastava,et al.  Design and Implementation of a Low Power Ternary Full Adder , 1996, VLSI Design.

[52]  S. Spitzer,et al.  Non-traditional, non-volatile memory based on switching and retention phenomena in polymeric thin films , 2004, Proceedings. 2004 IEEE Computational Systems Bioinformatics Conference.