Reliability- and Process-Variation Aware Design of VLSI Circuits
暂无分享,去创建一个
[1] Kaushik Roy,et al. Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring , 2005, IEEE International Conference on Test, 2005..
[2] James H. Stathis,et al. Reliability limits for the gate insulator in CMOS technology , 2002, IBM J. Res. Dev..
[3] Kaushik Roy,et al. A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[4] C.H. Kim,et al. An on-die CMOS leakage current sensor for measuring process variation in sub-90nm generations , 2004, 2005 International Conference on Integrated Circuit Design and Technology, 2005. ICICDT 2005..
[5] Jinhong Yuan,et al. Effect of gate oxide breakdown on RF device and circuit performance , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[6] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[7] J. Meindl,et al. The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.
[8] J. C. Cluley,et al. Probabilistic Reliability: an Engineering Approach , 1968 .
[9] Anthony S. Oates,et al. Stress-induced MOSFET mismatch for analog circuits , 2001, 2001 IEEE International Integrated Reliability Workshop. Final Report (Cat. No.01TH8580).
[10] Vivek De,et al. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).
[11] Gregory S. Snider,et al. A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology , 1998 .
[12] A. Visconti,et al. Radiation induced leakage current in floating gate memory cells , 2005, IEEE Transactions on Nuclear Science.
[13] M.A. Alam,et al. Design Considerations of Silicon Nanowire Biosensors , 2007, IEEE Transactions on Electron Devices.
[14] Sotirios G. Ziavras,et al. Runtime Partial Reconfiguration for Embedded Vector Processors , 2007, Fourth International Conference on Information Technology (ITNG'07).
[15] S. T. Buckland,et al. An Introduction to the Bootstrap. , 1994 .
[16] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[17] Eiji Takeda,et al. Hot-Carrier Effects in MOS Devices , 1995 .
[18] Martin D. F. Wong,et al. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[19] J. Murthy,et al. Leakage Power Dependent Temperature Estimation to Predict Thermal Runaway in FinFET Circuits , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[20] R. Degraeve,et al. Measurement and statistical analysis of single trap current-voltage characteristics in ultrathin SiON , 2005, 2005 IEEE International Reliability Physics Symposium, 2005. Proceedings. 43rd Annual..
[21] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[22] M. Agostinelli,et al. PMOS NBTI-induced circuit mismatch in advanced technologies , 2004 .
[23] S. John,et al. NBTI impact on transistor and circuit: models, mechanisms and scaling effects [MOSFETs] , 2003, IEEE International Electron Devices Meeting 2003.
[24] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[25] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[26] T. Chao,et al. A comprehensive study of hot carrier stress-induced drain leakage current degradation in thin-oxide n-MOSFETs , 1999 .
[27] K. Grace,et al. Probabilistic Reliability: An Engineering Approach , 1968 .
[28] Lynn Conway,et al. Introduction to VLSI systems , 1978 .
[29] B.C. Paul,et al. Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.
[30] Muhammad Ashraful Alam,et al. A comprehensive model of PMOS NBTI degradation , 2005, Microelectron. Reliab..
[31] M. Ieong,et al. Silicon Device Scaling to the Sub-10-nm Regime , 2004, Science.
[32] J. Stathis,et al. The impact of gate-oxide breakdown on SRAM stability , 2002, IEEE Electron Device Letters.
[33] Sanghyun Ju,et al. N-Type Field-Effect Transistors Using Multiple Mg-Doped ZnO Nanorods , 2007, IEEE Transactions on Nanotechnology.
[34] R. Zallen,et al. The Physics of Amorphous Solids: ZALLEN:PHYSICS OF AMORPHO O-BK , 2005 .
[35] M.A. Alam,et al. Statistically independent soft breakdowns redefine oxide reliability specifications , 2002, Digest. International Electron Devices Meeting,.
[36] R. Keyes. Physical limits of silicon transistors and circuits , 2005 .
[37] R. Baumann. The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction , 2002, Digest. International Electron Devices Meeting,.
[38] Xinghai Tang,et al. Random MOSFET parameter fluctuation limits to gigascale integration (GSI) , 1996, 1996 Symposium on VLSI Technology. Digest of Technical Papers.
[39] V. Reddy,et al. A comprehensive framework for predictive modeling of negative bias temperature instability , 2004, 2004 IEEE International Reliability Physics Symposium. Proceedings.
[40] Kaushik Roy,et al. Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[41] Andrea L. Lacaita,et al. Edge and percolation effects on VT window in nanocrystal memories , 2005 .
[42] Kaushik Roy,et al. Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[43] Chandu Visweswariah,et al. Death, taxes and failing chips , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[44] Jan B. van Schoot,et al. Understanding systematic and random CD variations using predictive modeling techniques , 1999, Advanced Lithography.
[45] W. N. Parker,et al. The Engineering Approach , 1972 .
[46] J. Petrovick,et al. The circuit and physical design of the POWER4 microprocessor , 2002, IBM J. Res. Dev..
[47] H. Kufluoglu,et al. A geometrical unification of the theories of NBTI and HCI time-exponents and its implications for ultra-scaled planar and surround-gate MOSFETs , 2004, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004..
[48] Kaushik Roy,et al. Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[49] D. Varghese,et al. A comprehensive model for PMOS NBTI degradation: Recent progress , 2007, Microelectron. Reliab..
[50] Jing Guo,et al. Performance Assessment of Subpercolating Nanobundle Network Thin-Film Transistors by an Analytical Model , 2007, IEEE Transactions on Electron Devices.
[51] Kaushik Roy,et al. Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI , 2006, 2006 International Conference on Computer Design.
[52] Paul E. Dodd,et al. Neutron-induced latchup in SRAMs at ground level , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[53] R. K. Smith,et al. A phenomenological theory of correlated multiple soft-breakdown events in ultra-thin gate dielectrics , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[54] A. Asenov. Random dopant induced threshold voltage lowering and fluctuations in sub-0.1 /spl mu/m MOSFET's: A 3-D "atomistic" simulation study , 1998 .