Wrapper design for the reuse of a bus, network-on-chip, or other functional interconnect as test access mechanism

A new core test wrapper design approach is proposed which transports streaming test data, for example scan test patterns, into and out of an embedded core exclusively via (some of) its functional data ports. The latter are typically based on standardised protocols such as AXI, DTL, and OCP. The new wrapper design allows a functional interconnect, such as an on-chip bus or network-on-chip (NOC) to transport test data to embedded cores, and hence eliminates the need for a conventional dedicated test access mechanism (TAM), such as a TestRail or test bus. The approach leaves both the tester, as well as the embedded core and its test unchanged, while the functional interconnect can handle the test data transport as a regular data application. The functional interconnect is required to offer guaranteed throughput and zero latency variation, a service that is available in many buses and networks. For 672 example cases based on the ITC'02 System-on-Chip (SOC) Test Benchmarks, the new approach in comparison with the conventional approach shows an average wrapper area increase of 14.5%, which is negligible at the SOC level, especially since the dedicated TAM can be eliminated. Futhermore, the new approach decreases the core test length by 3.8% on average.

[1]  Luigi Carro,et al.  The impact of NoC reuse on the testing of core-based systems , 2003, Proceedings. 21st VLSI Test Symposium, 2003..

[2]  Francisco da Silva,et al.  The Core Test Wrapper Handbook : Rationale and Application of IEEE Std. 1500 (Frontiers in Electronic Testing) , 2006 .

[3]  Dhiraj K. Pradhan,et al.  Test scheduling for network-on-chip with BIST and precedence constraints , 2004, 2004 International Conferce on Test.

[4]  Luigi Carro,et al.  Power-aware noc reuse on the testing of core-based systems , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[5]  Luca Benini,et al.  Networks on chips - technology and tools , 2006, The Morgan Kaufmann series in systems on silicon.

[6]  Érika F. Cota,et al.  Power-aware test scheduling in network-on-chip using variable-rate on-chip clocking , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[7]  Srinivasan Murali,et al.  A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

[8]  Zainalabedin Navabi,et al.  A concurrent testing method for NoC switches , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[9]  Yervant Zorian,et al.  Wrapper design for embedded core test , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[10]  Kees G. W. Goossens,et al.  Guaranteeing the Quality of Services in Networks on Chip , 2003, Networks on Chip.

[11]  Kwang-Ting Cheng,et al.  A self-test methodology for IP cores in bus-based programmable SoCs , 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

[12]  Peter Harrod,et al.  Testing reusable IP-a case study , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[13]  A. Ivanov,et al.  A packet switching communication-based test access mechanism for system chips , 2001, IEEE European Test Workshop, 2001..

[14]  Kees G. W. Goossens,et al.  An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Russell Tessier,et al.  Adaptive system on a chip (ASOC): a backbone for power-aware signal processing cores , 2003, Proceedings 2003 International Conference on Image Processing (Cat. No.03CH37429).

[16]  Erik Jan Marinissen,et al.  Infrastructure for modular SOC testing , 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

[17]  Hans G. Kerkhoff,et al.  Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach , 1999, J. Electron. Test..

[18]  Axel Jantsch,et al.  Networks on chip , 2003 .

[19]  Kees G. W. Goossens,et al.  A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification , 2005, Design, Automation and Test in Europe.

[20]  Alexandre M. Amory,et al.  Software-Based Test for Non-Programmable Cores in Bus-Based System-on-Chip Architectures , 2003, VLSI-SOC.

[21]  Jong-Sun Kim,et al.  On-chip network based embedded core testing , 2004, IEEE International SOC Conference, 2004. Proceedings..

[22]  Luca Benini,et al.  Networks on Chips : A New SoC Paradigm , 2022 .

[23]  Jens Sparsø,et al.  A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.

[24]  Kees Goossens,et al.  AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.

[25]  Jacob A. Abraham,et al.  Reuse of addressable system bus for SOC testing , 2001, Proceedings 14th Annual IEEE International ASIC/SOC Conference (IEEE Cat. No.01TH8558).

[26]  Erik Jan Marinissen,et al.  A structured and scalable mechanism for test access to embedded reusable cores , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[27]  Erik Jan Marinissen,et al.  A set of benchmarks for modular testing of SOCs , 2002, Proceedings. International Test Conference.

[28]  Erik Jan Marinissen,et al.  Effective and efficient test architecture design for SOCs , 2002, Proceedings. International Test Conference.

[29]  Om Prakash Gangwal,et al.  An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2005 .

[30]  Axel Jantsch,et al.  Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[31]  Wolf-Dietrich Weber,et al.  A quality-of-service mechanism for interconnection networks in system-on-chips , 2005, Design, Automation and Test in Europe.

[32]  Chunsheng Liu,et al.  Test scheduling with thermal optimization for network-on-chip systems using variable-rate on-chip clocking , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[33]  Yervant Zorian,et al.  Challenges in testing core-based system ICs , 1999, IEEE Commun. Mag..