1.56GHz/0.9V energy-efficient reconfigurable CAM/SRAM using 6T-CMOS bitcell

Content addressable memory (CAM) performs parallel data search at the cost of high area and power penalty. We propose a high-speed 6T-ReCSAM (Reconfigurable CAM/SRAM) with new energy efficient sensing technique. Proposed implementation is compatible with compact 6T-SRAM foundry bitcells. Test-macro of 8Kb is implemented in 28nm FDSOI CMOS and reaches up to 1.56GHz at 0.9V with 0.13fJ/bit energy consumption per search, giving an improvement of 4.6× [2], 8.3× [3], 5.9× [4] and 14.3× [5] with respect to Ref. [2]-[5]. Similarly, the search speed is improved by 4.2× [2], 3.12× [4], and 6.24× [5].

[1]  David Blaauw,et al.  8.2 Batteryless Sub-nW Cortex-M0+ processor with dynamic leakage-suppression logic , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[2]  Yong Lian,et al.  A 0.7-V 17.4-/spl mu/W 3-Lead Wireless ECG SoC , 2013, IEEE Transactions on Biomedical Circuits and Systems.

[3]  A. D. Santana Gil,et al.  Reconfigurable Cache Implemented on an FPGA , 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.

[4]  Kun-Lin Tsai,et al.  Low Leakage TCAM for IP Lookup Using Two-Side Self-Gating , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[5]  Cong Xu,et al.  Bandwidth-aware reconfigurable cache design with hybrid memory technologies , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  Sanu Mathew,et al.  A 128×128b high-speed wide-and match-line content addressable memory in 32nm CMOS , 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

[7]  David Blaauw,et al.  A configurable TCAM/BCAM/SRAM using 28nm push-rule 6T bit cell , 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

[8]  Pranay Prabhat,et al.  8.1 An 80nW retention 11.7pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65nm CMOS for WSN applications , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[9]  T. Endoh,et al.  Fabrication of a 99%-energy-less nonvolatile multi-functional CAM chip using hierarchical power gating for a massively-parallel full-text-search engine , 2013, 2013 Symposium on VLSI Technology.

[10]  Kiat Seng Yeo,et al.  Design of a power-efficient CAM using automated background checking scheme for small match line swing , 2013, 2013 Proceedings of the ESSCIRC (ESSCIRC).

[11]  Chua-Chin Wang,et al.  A Self-Disabled Sensing Technique for Content-Addressable Memories , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[12]  Dionisios N. Pnevmatikatos,et al.  FPGA implementation of a configurable cache/scratchpad memory with virtualized user-level RDMA capability , 2009, 2009 International Symposium on Systems, Architectures, Modeling, and Simulation.