Preferred Fill: A Scalable Method to Reduce Capture Power for Scan Based Designs

When the response to a test vector is captured by state elements in scan based tests, the switching activity of the circuit may be large resulting in abnormal power dissipation and supply current demand. High supply current may cause excessive supply voltage drops leading to larger gate delays which may cause good chips to fail tests. This paper presents a scalable approach called Preferred Fill to reduce average and peak power dissipation during capture cycles of launch off capture delay fault tests. Experimental results presented for benchmark and industrial circuits demonstrate the effectiveness of the proposed method

[1]  Irith Pomeranz,et al.  Techniques for minimizing power dissipation in scan and combinational circuits during test application , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Aiman H. El-Maleh,et al.  An efficient test relaxation technique for combinational & full-scan sequential circuits , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[3]  Nur A. Touba,et al.  Inserting test points to control peak power during scan testing , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..

[4]  Michael S. Hsiao,et al.  Constrained ATPG for broadside transition testing , 2003, Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems.

[5]  Kenneth M. Butler,et al.  A case study of ir-drop in structured at-speed testing , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[6]  Jeff Rearick Too much delay fault coverage is a bad thing , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[7]  Ali Afzali-Kusha,et al.  Simultaneous reduction of dynamic and static power in scan structures , 2005, Design, Automation and Test in Europe.

[8]  N. S. Barnett,et al.  Private communication , 1969 .

[9]  Jacob Savir Skewed-Load Transition Test: Part I, Calculus , 1992, Proceedings International Test Conference 1992.

[10]  Irith Pomeranz,et al.  Generation of Functional Broadside Tests for Transition Faults , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[11]  Arnaud Virazel,et al.  Design of routing-constrained low power scan chains , 2004 .

[12]  Srinivas Patil,et al.  Broad-side delay test , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[13]  Kozo Kinoshita,et al.  Low-capture-power test generation for scan-based at-speed testing , 2005, IEEE International Conference on Test, 2005..

[14]  Kaushik Roy,et al.  Low-power scan design using first-level supply gating , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[15]  Srinivas Patil,et al.  On broad-side delay test , 1994, Proceedings of IEEE VLSI Test Symposium.

[16]  Kuen-Jong Lee,et al.  Test power reduction with multiple capture orders , 2004, 13th Asian Test Symposium.

[17]  Patrick Girard,et al.  Low power testing of VLSI circuits: problems and solutions , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[18]  Sandeep K. Gupta,et al.  LT-RTPG: a new test-per-scan BIST TPG for low heat dissipation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[19]  Feng Lu,et al.  Constraint extraction for pseudo-functional scan-based delay testing , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[20]  Irith Pomeranz,et al.  On generating pseudo-functional delay fault tests for scan designs , 2005, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05).

[21]  Lee Whetsel,et al.  Adapting scan architectures for low power operation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[22]  Kozo Kinoshita,et al.  On low-capture-power test generation for scan testing , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

[23]  Bashir M. Al-Hashimi,et al.  Scan architecture with mutually exclusive scan segment activation for shift- and capture-power reduction , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Irith Pomeranz,et al.  On reducing peak current and power during test , 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

[25]  Irith Pomeranz On the generation of scan-based test sets with reachable states for testing under functional operation conditions , 2004, Proceedings. 41st Design Automation Conference, 2004..

[26]  Hans-Joachim Wunderlich,et al.  Minimized Power Consumption for Scan-Based BIST , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[27]  Atul K. Jain,et al.  Minimizing power consumption in scan testing: pattern generation and DFT techniques , 2004 .

[28]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .