Thermal-aware floorplanner for 3D IC, including TSVs, liquid microchannels and thermal domains optimization

Graphical abstractWe have designed an integrated framework based on a set of algorithms to place functional units, air channels, through silicon vias and liquid channels. Our framework performs an incremental floorplan design to optimize both performance and maximum temperature in the 3D-IC. Display Omitted HighlightsWe have designed an effective algorithm to optimize the placement of functional units and through silicon vias.We have also integrated an approximated (but accurate) thermal model inside the optimization loop.We have added an optimizer for active cooling (liquid channels).We propose a novel technique based on air channel placement designed to isolate thermal domains. 3D stacked technology has emerged as an effective mechanism to overcome physical limits and communication delays found in 2D integration. However, 3D technology also presents several drawbacks that prevent its smooth application. Two of the major concerns are heat reduction and power density distribution. In our work, we propose a novel 3D thermal-aware floorplanner that includes: (1) an effective thermal-aware process with three different evolutionary algorithms that aim to solve the soft computing problem of optimizing the placement of functional units and through silicon vias, as well as the smooth inclusion of active cooling systems and new design strategies, (2) an approximated thermal model inside the optimization loop, (3) an optimizer for active cooling (liquid channels), and (4) a novel technique based on air channel placement designed to isolate thermal domains have been also proposed. The experimental work is conducted for a realistic many-core single-chip architecture based on the Niagara design. Results show promising improvements of the thermal and reliability metrics, and also show optimal scaling capabilities to target future-trend many-core systems.

[1]  V. C. Tyree,et al.  Temperature gradient effects in electromigration using an extended transition probability model and temperature gradient free tests. I. Transition probability model , 1999, 1999 IEEE International Integrated Reliability Workshop Final Report (Cat. No. 99TH8460).

[2]  Li Shang,et al.  Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors , 2007, IEEE Micro.

[3]  L. Fréchette,et al.  A Silicon Microturbopump for a Rankine-Cycle Power-Generation Microsystem—Part II: Fabrication and Characterization , 2011, Journal of Microelectromechanical Systems.

[4]  Yusuf Leblebici,et al.  Through Silicon Via-Based Grid for Thermal Control in 3D Chips , 2009, NanoNet.

[5]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[6]  José Ignacio Hidalgo,et al.  Adaptive Task Migration Policies for Thermal Control in MPSoCs , 2010, ISVLSI.

[7]  Hannu Tenhunen,et al.  Bandwidth optimization for through silicon via (TSV) bundles in 3D integrated circuits. , 2009 .

[8]  José Ignacio Hidalgo,et al.  Comparative study of meta-heuristic 3D floorplanning algorithms , 2015, Neurocomputing.

[9]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, ICCAD 2004.

[10]  Maolin Tang,et al.  A Slicing Structure Representation for the Multi-layer Floorplan Layout Problem , 2004, EvoWorkshops.

[11]  Karthik Dantu,et al.  Frame-based dynamic voltage and frequency scaling for a MPEG decoder , 2002, ICCAD 2002.

[12]  Sung Kyu Lim,et al.  3D Floorplanning with Thermal Vias , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[13]  José Ignacio Hidalgo,et al.  3D thermal-aware floorplanner using a MOEA approximation , 2013, Integr..

[14]  Li Shang,et al.  Thermal Modeling, Characterization and Management of On-Chip Networks , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

[15]  Xin Yao,et al.  A Memetic Algorithm for VLSI Floorplanning , 2007, IEEE Transactions on Systems, Man, and Cybernetics, Part B (Cybernetics).

[16]  Radu Marculescu,et al.  Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[17]  David Atienza,et al.  Emulation-based transient thermal modeling of 2D/3D Systems-On-Chip with active cooling , 2009, 2009 15th International Workshop on Thermal Investigations of ICs and Systems.

[18]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[19]  Christian Piguet,et al.  Design Technology for Heterogeneous Embedded Systems , 2011 .

[20]  Sung Kyu Lim,et al.  Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  S. N. Sivanandam,et al.  Introduction to genetic algorithms , 2007 .

[22]  Kalyanmoy Deb,et al.  A fast and elitist multiobjective genetic algorithm: NSGA-II , 2002, IEEE Trans. Evol. Comput..