Predictions of CMOS compatible on-chip optical interconnect
暂无分享,去创建一个
Hui Chen | Guoqing Chen | Eby G. Friedman | Philippe M. Fauchet | David H. Albonesi | Mikhail Haurylau | Nicholas Nelson | D. Albonesi | E. Friedman | Guoqing Chen | Hui Chen | M. Haurylau | N. Nelson | P. Fauchet
[1] B. Jalali,et al. Silicon photonics , 2006, IEEE Microwave Magazine.
[2] D. Albonesi,et al. On-Chip Copper-Based vs. Optical Interconnects: Delay Uncertainty, Latency, Power, and Bandwidth Density Comparative Predictions , 2006, 2006 International Interconnect Technology Conference.
[3] B. Jalali,et al. Silicon Photonics , 2006, Journal of Lightwave Technology.
[4] Guoqing Chen,et al. Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] Hui Chen,et al. On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.
[6] Daniela De Venuto,et al. International Symposium on Quality Electronic Design , 2005, Microelectron. J..
[7] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] Qianfan Xu,et al. Micrometre-scale silicon electro-optic modulator , 2005, Nature.
[9] M. Morse,et al. High speed silicon Mach-Zehnder modulator. , 2005, Optics express.
[10] Costas J. Spanos,et al. Modeling within-die spatial correlation effects for process-design co-optimization , 2005, Sixth international symposium on quality electronic design (isqed'05).
[11] Wayne P. Burleson,et al. Robust multi-level current-mode on-chip interconnect signaling in the presence of process variations , 2005, Sixth international symposium on quality electronic design (isqed'05).
[12] Yu Cao,et al. Switch-factor based loop RLC modeling for efficient timing analysis , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[13] Zou Xiao. Threshold Voltage Model for Deep-Submicrometer MOSFET's , 2005 .
[14] Enrico Macii,et al. Ultra Low-Power Electronics and Design , 2010 .
[15] Guoqing Chen,et al. Low power repeaters driving RC interconnects with delay and bandwidth constraints , 2004, IEEE International SOC Conference, 2004. Proceedings..
[16] Bahram Jalali,et al. Demonstration of a silicon Raman laser. , 2004, Optics express.
[17] Y. Vlasov,et al. Losses in single-mode silicon-on-insulator strip waveguides and bends. , 2004, Optics express.
[18] J.C. Campbell,et al. Metal-germanium-metal photodetectors on heteroepitaxial Ge-on-Si with amorphous Ge Schottky barrier enhancement layers , 2004, IEEE Photonics Technology Letters.
[19] M. Paniccia,et al. A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.
[20] Frédéric Gaffiot,et al. On-Chip Optical Interconnect for Low-Power , 2004, Ultra Low-Power Electronics and Design.
[21] Ishiuchi,et al. Alpha-Power Law MOSFET Model and its Applications to CMOS Inverter Delay and Other Formulas , 2004 .
[22] G. Burr,et al. Journal of Applied Physics , 2004 .
[23] Sharon M. Weiss,et al. Temperature stability for silicon-based photonic band-gap structures , 2003 .
[24] Malgorzata Marek-Sadowska,et al. Buffer delay change in the presence of power and ground noise , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[25] Yehea I. Ismail,et al. Accurate rise time and overshoots estimation in RLC interconnects , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[26] J.C. Campbell,et al. 10-Gb/s all-silicon optical receiver , 2003, IEEE Photonics Technology Letters.
[27] Eby G. Friedman,et al. Optimum wire sizing of RLC interconnect with repeaters , 2003, GLSVLSI '03.
[28] M. Lipson,et al. Low-power-consumption short-length and high-modulation-depth silicon electrooptic modulator , 2003 .
[29] Yehea I. Ismail,et al. On the Extraction of On-Chip Inductance , 2003, J. Circuits Syst. Comput..
[30] Dan Buca,et al. Metal–germanium–metal ultrafast infrared detectors , 2002 .
[31] Joe C. Campbell,et al. Interdigitated Ge p-i-n photodetectors fabricated on a Si substrate using graded SiGe buffer layers , 2002 .
[32] Steven G. Johnson,et al. Photonic-crystal slow-light enhancement of nonlinear phase sensitivity , 2002 .
[33] P. Kapur,et al. Comparisons between electrical and optical interconnects for on-chip signaling , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).
[34] Pawan Kapur. Scaling induced performance challenges/limitations of on-chip metal interconnects and comparisons with optical interconnects , 2002 .
[35] Puneet Gupta,et al. Design sensitivities to variability: extrapolations and assessments in nanometer VLSI , 2002, 15th Annual IEEE International ASIC/SOC Conference.
[36] Yu Cao,et al. Effective on-chip inductance modeling for multiple signal lines and application on repeater insertion , 2002, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.
[37] Ken Mai,et al. The future of wires , 2001, Proc. IEEE.
[38] Stanislav V. Averine,et al. Geometry optimization of interdigitated Schottky-barrier metal–semiconductor–metal photodiode structures , 2001 .
[39] Andrew B. Kahng,et al. On switch factor based analysis of coupled RC interconnects , 2000, Proceedings 37th Design Automation Conference.
[40] Eby G. Friedman,et al. Sensitivity of interconnect delay to on-chip inductance , 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).
[41] Shyh-Chyi Wong,et al. Modeling of interconnect capacitance, delay, and crosstalk in VLSI , 2000 .
[42] L. Eldada,et al. Advances in polymer integrated optics , 2000, IEEE Journal of Selected Topics in Quantum Electronics.
[43] E. Friedman,et al. Effects of inductance on the propagation delay and repeater insertion in VLSI circuits , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[44] S. Muddu,et al. Interconnect tuning strategies for high-performance ICs , 1998, Proceedings Design, Automation and Test in Europe.
[45] Eby G. Friedman,et al. Repeater design to reduce delay and power in resistive interconnect , 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.
[46] Chenming Hu,et al. Copper Interconnect: Fabrication And Reliability , 1997, Proceedings of Technical Papers. International Symposium on VLSI Technology, Systems, and Applications.
[47] G. F. Niu,et al. Further Comments on "Threshold voltage model for deep-submicrometer MOSFETs" and its extension to subthreshold operation , 1996 .
[48] Eby G. Friedman,et al. A unified design methodology for CMOS tapered buffers , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[49] J. J. Morikuni,et al. Improvements to the standard theory for photoreceiver noise , 1994 .
[50] N. D. Arora,et al. MOSFET Models for VLSI Circuit Simulation: Theory and Practice , 1993 .
[51] C. Hu,et al. Threshold voltage model for deep-submicrometer MOSFETs , 1993 .
[52] G. Agrawal. Fiber‐Optic Communication Systems , 2021 .
[53] Thomas F. Carruthers,et al. 32 GHz metal-semiconductor-metal photodetectors on crystalline silicon , 1992 .
[54] Kjell O. Jeppson,et al. CMOS Circuit Speed and Buffer Optimization , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[55] R. Soref,et al. Electrooptical effects in silicon , 1987 .
[56] F.J. Leonberger,et al. Optical interconnections for VLSI systems , 1984, Proceedings of the IEEE.
[57] J. Goodman. Optical interconnection for VLSI , 1984 .
[58] J. Collet. Solid-State Electronics , 1963, Nature.