Test vector decomposition-based static compaction algorithms for combinational circuits

Testing system-on-chips involves applying huge amounts of test data, which is stored in the tester memory and then transferred to the chip under test during test application. Therefore, practical techniques, such as test compression and compaction, are required to reduce the amount of test data in order to reduce both the total testing time and memory requirements for the tester. In this article, a new approach to static compaction for combinational circuits, referred to as test vector decomposition (TVD), is proposed. In addition, two new TVD based static compaction algorithms are presented. Experimental results for benchmark circuits demonstrate the effectiveness of the two new static compaction algorithms.

[1]  J.H. Patel,et al.  Test set compaction algorithms for combinational circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[2]  Aiman H. El-Maleh,et al.  An efficient test relaxation technique for combinational & full-scan sequential circuits , 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

[3]  Gert-Jan Tromp,et al.  Minimal Test Sets for Combinatorial Circuits , 1991 .

[4]  Jau-Shien Chang,et al.  Test set compaction for combinational circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[5]  Paulo F. Flores,et al.  On applying set covering models to test set compaction , 1999, Proceedings Ninth Great Lakes Symposium on VLSI.

[6]  Irith Pomeranz,et al.  Generalization of independent faults for transition faults , 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

[7]  Dorit S. Hochbaum,et al.  An optimal test compression procedure for combinational circuits , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Irith Pomeranz,et al.  On static test compaction and test pattern ordering for scan designs , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[9]  Sheldon B. Akers,et al.  On the Complexity of Estimating the Size of a Test Set , 1984, IEEE Transactions on Computers.

[10]  Irith Pomeranz,et al.  COMPACTEST: A METHOD TO GENERATE COMPACT TEST SETS FOR COMBINATIONAL CIRCUITS , 1991, 1991, Proceedings. International Test Conference.

[11]  Sudhakar M. Reddy,et al.  A method of static test compaction based on don't care identification , 2002, Proceedings First IEEE International Workshop on Electronic Design, Test and Applications '2002.

[12]  Premachandran R. Menon,et al.  Critical Path Tracing - An Alternative to Fault Simulation , 1983, 20th Design Automation Conference Proceedings.

[13]  Sungju Park,et al.  Why is less information from logic simulation more useful in fault simulation? , 1990, Proceedings. International Test Conference 1990.

[14]  Michael H. Schulz,et al.  SOCRATES: a highly efficient automatic test pattern generation system , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  S.B. Akers,et al.  Test counting: a tool for VLSI testing , 1989, IEEE Design & Test of Computers.

[16]  S. B. Akers,et al.  On the Role of Independent Fault Sets in the Generation of Minimal Test Sets , 1987 .

[17]  Kohei Miyase,et al.  On identifying don't care inputs of test patterns for combinational circuits , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[18]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[19]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[20]  Gert-Jan Tromp,et al.  Minimal Test Sets for Combinational Circuits , 1991, 1991, Proceedings. International Test Conference.

[21]  Janak H. Patel,et al.  HITEC: a test generation package for sequential circuits , 1991, Proceedings of the European Conference on Design Automation..

[22]  Irith Pomeranz,et al.  ROTCO: a reverse order test compaction technique , 1992, Proceedings Euro ASIC '92.

[23]  Tsuneo Nakata,et al.  A method of static compaction of test stimuli , 2001, Proceedings 10th Asian Test Symposium.

[24]  Irith Pomeranz,et al.  Forward-looking fault simulation for improved static compaction , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[25]  Bozena Kaminska,et al.  A new dynamic test vector compaction for automatic test pattern generation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[26]  Irith Pomeranz,et al.  On compacting test sets by addition and removal of test vectors , 1994, Proceedings of IEEE VLSI Test Symposium.

[27]  Dong Sam Ha,et al.  HOPE: an efficient parallel fault simulator for synchronous sequential circuits , 1992, DAC '92.

[28]  Jiang Chau Wang,et al.  Collective test generation and test set compaction , 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

[29]  James A. McHugh,et al.  Algorithmic Graph Theory , 1986 .

[30]  Irith Pomeranz,et al.  Cost-Effective Generation of Minimal Test Sets for Stuck-at Faults in Combinational Logic Circuits , 1993, 30th ACM/IEEE Design Automation Conference.