Actively Alleviate Power Gating-Induced Power/Ground Noise Using Parasitic Capacitance of On-Chip Memories in MPSoC

By integrating multiple processing units (PUs) and memories on a single chip, multiprocessor system-on-chip (MPSoC) can provide higher performance per energy and lower cost per function to applications with growing complexity. On the other hand, shrinking feature sizes and reducing power supply voltages also make MPSoCs more susceptible to various reliability threats, such as power/ground (P/G) noises. Power gating is an effective technique to minimize leakage power. However, it also introduces significant P/G noises in MPSoCs. With significant area, power and performance overheads, traditional methods rely on reinforced circuits or fixed protection strategies to reduce P/G noises caused by power gating. In this paper, we propose a systematic approach to actively alleviating P/G noises using the parasitic capacitance of on-chip memories through sensor network on-chip (SENoC). We use the parasitic capacitance of on-chip memories as dynamic decoupling capacitance to suppress P/G noises and develop a detailed HSPICE model for related study. SENoC is developed to not only monitor and report P/G noises, but also coordinate PUs and memories to alleviate such transient threats at run time. Extensive evaluations show that compared with traditional method, our approach saves 12.6%-62.8% energy consumption and achieves 14.3%-69.8% performance improvement for different applications and MPSoCs with different scales. We implement the circuit details of our approach and show its low area and energy consumption overheads.

[1]  Martin Burtscher,et al.  Bridging the processor-memory performance gap with 3D IC technology , 2005, IEEE Design & Test of Computers.

[2]  Francis C. M. Lau,et al.  Load balancing in parallel computers - theory and practice , 1996, The Kluwer international series in engineering and computer science.

[3]  T. N. Vijaykumar,et al.  Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

[4]  David Blaauw,et al.  Circuit and microarchitectural techniques for reducing cache leakage power , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[6]  Lei He,et al.  Distributed sleep transistor network for power reduction , 2003, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[7]  Hsien-Hsin S. Lee,et al.  A unified methodology for power supply noise reduction in modern microarchitecture design , 2008, 2008 Asia and South Pacific Design Automation Conference.

[8]  Asim J. Al-Khalili,et al.  Postsilicon Tuning of Standby Supply Voltage in SRAMs to Reduce Yield Losses Due to Parametric Data-Retention Failures , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  Chita R. Das,et al.  Cost-driven 3D integration with interconnect layers , 2010, Design Automation Conference.

[10]  Edmund J. Sprogis,et al.  Wafer-level 3D integration technology , 2008, IBM J. Res. Dev..

[11]  Jan M. Rabaey,et al.  SRAM supply voltage scaling: A reliability perspective , 2009, 2009 10th International Symposium on Quality Electronic Design.

[12]  David Howard,et al.  Challenges in sleep transistor design and implementation in low-power designs , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[13]  Pradip Bose,et al.  A case for guarded power gating for multi-core processors , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[14]  Chun Zhang,et al.  Benchmarking for research in power delivery networks of three-dimensional integrated circuits , 2013, ISPD '13.

[15]  Zhe Wang,et al.  Characterizing power delivery systems with on/off-chip voltage regulators for many-core processors , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Malgorzata Marek-Sadowska,et al.  Timing-Aware Power-Noise Reduction in Placement , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[17]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[18]  Hsien-Hsin S. Lee,et al.  3D-MAPS: 3D Massively parallel processor with stacked memory , 2012, 2012 IEEE International Solid-State Circuits Conference.

[19]  Eby G. Friedman,et al.  Decoupling capacitors for multi-voltage power distribution systems , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Wei Zhang,et al.  Active power-gating-induced power/ground noise alleviation using parasitic capacitance of on-chip memories , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[21]  Avinoam Kolodny,et al.  Effective Radii of On-Chip Decoupling Capacitors , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Hsien-Hsin S. Lee,et al.  A Floorplan-Aware Dynamic Inductive Noise Controller for Reliable 2D and 3D Microprocessors , 2006 .

[23]  Hung-Ming Chen,et al.  Simultaneous power supply planning and noise avoidance in floorplan design , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Shinji Miyamoto,et al.  Effect of resistance of TSV's on performance of boost converter for low power 3D SSD with NAND flash memories , 2009, 2009 IEEE International Conference on 3D System Integration.

[25]  C.H. Kim,et al.  On-Chip Supply Noise Regulation Using a Low-Power Digital Switched Decoupling Capacitor Circuit , 2009, IEEE Journal of Solid-State Circuits.

[26]  Anand Gopalan,et al.  Passive and Active Reduction Techniques for On-Chip High-Frequency Digital Power Supply Noise , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Suhwan Kim,et al.  A Multi-Mode Power Gating Structure for Low-Voltage Deep-Submicron CMOS ICs , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[28]  Yu Wang,et al.  Power Gating Aware Task Scheduling in MPSoC , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[30]  Yu Wang,et al.  On-Chip Sensor Network for Efficient Management of Power Gating-Induced Power/Ground Noise in Multiprocessor System on Chip , 2013, IEEE Transactions on Parallel and Distributed Systems.

[31]  N. Kurd,et al.  Next generation Intel® micro-architecture (Nehalem) clocking architecture , 2008, 2008 IEEE Symposium on VLSI Circuits.

[32]  Jie Gu,et al.  Sleep Transistor Sizing and Adaptive Control for Supply Noise Minimization Considering Resonance , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[33]  D. S. Wills,et al.  On-chip decoupling capacitor optimization using architectural level prediction , 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).