A quantitative evaluation of a Network on Chip design flow for multi-core consumer multimedia applications
暂无分享,去创建一个
[1] Kees G. W. Goossens,et al. Cost-performance trade-offs in networks on chip: a simulation-based approach , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[2] Srinivasan Murali,et al. An Application-Specific Design Methodology for STbus Crossbar Generation , 2005, Design, Automation and Test in Europe.
[3] Peng Wu,et al. Using advanced compiler technology to exploit the performance of the Cell Broadband Enginee , 2006 .
[4] R. Marculescu,et al. Exploiting the routing flexibility for energy/performance aware mapping of regular NoC architectures , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[5] Kees G. W. Goossens,et al. Undisrupted Quality-of-Service during Reconfiguration of Multiple Applications in Networks on Chip , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[6] Rudy Lauwereins,et al. Networks on Chip as Hardware Components of an OS for Reconfigurable Systems , 2003, FPL.
[7] Anshul Kumar,et al. Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures , 2007, TODE.
[8] Dake Liu,et al. SoCBUS: switched network on chip for hard real time embedded systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.
[9] Kees G. W. Goossens,et al. CoMPSoC: A template for composable and predictable multi-processor system on chips , 2009, TODE.
[10] Jens Sparsø,et al. An OCP Compliant Network Adapter for GALS-based SoC Design Using the MANGO Network-on-Chip , 2005, 2005 International Symposium on System-on-Chip.
[11] Tomas Henriksson,et al. Heterogeneous multi-core platform for consumer multimedia applications , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[12] L. Benini,et al. /spl times/pipesCompiler: a tool for instantiating application specific networks on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[13] Kees G. W. Goossens,et al. Avoiding Message-Dependent Deadlock in Network-Based Systems on Chip , 2007, VLSI Design.
[14] R. P. de Vries. IC innovations in automotive , 2008 .
[15] Orlando Moreira,et al. Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor , 2007, EMSOFT '07.
[16] Om Prakash Gangwal,et al. Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the Aethereal Network on Chip , 2005 .
[17] Kees Goossens,et al. AEthereal network on chip: concepts, architectures, and implementations , 2005, IEEE Design & Test of Computers.
[18] Erik Jan Marinissen,et al. Design and DfT of a High-Speed Area-Efficient Embedded Asynchronous FIFO , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[19] Fabien Clermidy,et al. Dynamic Voltage and Frequency Scaling Architecture for Units Integration within a GALS NoC , 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).
[20] Henry Hoffmann,et al. On-Chip Interconnection Architecture of the Tile Processor , 2007, IEEE Micro.
[21] Hermann Kopetz,et al. Concepts of Switching in the Time-Triggered Network-on-Chip , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.
[22] Kees Moerman,et al. Vector Processing as an Enabler for Software-Defined Radio in Handheld Devices , 2005, EURASIP J. Adv. Signal Process..
[23] Federico Angiolini,et al. /spl times/pipes Lite: a synthesis oriented design library for networks on chips , 2005, Design, Automation and Test in Europe.
[24] Christian Haubelt,et al. SystemCoDesigner—an automatic ESL synthesis approach by design space exploration and behavioral synthesis for streaming applications , 2009, TODE.
[25] Kees G. W. Goossens,et al. An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[26] Om Prakash Gangwal,et al. Interconnect and Memory Organization in SOCs for Advanced Set-Top Boxes and TV , 2004 .
[27] Avinoam Kolodny,et al. Leveraging application-level requirements in the design of a NoC for a 4G SoC - a case study , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[28] Kiyoung Choi,et al. Instruction set synthesis with efficient instruction encoding for configurable processors , 2007, TODE.
[29] Kees G. W. Goossens,et al. Aelite: A flit-synchronous Network on Chip with composable and predictable services , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[30] Jens Sparsø,et al. A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip , 2005, Design, Automation and Test in Europe.
[31] Gerard J. M. Smit,et al. Buffer Capacity Computation for Throughput Constrained Streaming Applications with Data-Dependent Inter-Task Communication , 2008, 2008 IEEE Real-Time and Embedded Technology and Applications Symposium.
[32] Natalie D. Enright Jerger,et al. Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[33] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[34] Radu Marculescu,et al. System-level power/performance analysis of portable multimedia systems communicating over wireless channels , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[35] Wolf-Dietrich Weber,et al. A quality-of-service mechanism for interconnection networks in system-on-chips , 2005, Design, Automation and Test in Europe.
[36] Giorgio Buttazzo,et al. Hard Real-Time Computing Systems: Predictable Scheduling Algorithms and Applications , 1997 .
[37] Luca Benini,et al. Bringing NoCs to 65 nm , 2007, IEEE Micro.
[38] Théodore Marescaux,et al. Centralized run-time resource management in a network-on-chip containing reconfigurable hardware tiles , 2005, Design, Automation and Test in Europe.
[39] Ran Ginosar,et al. An asynchronous router for multiple service levels networks on chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[40] Fernando Gehm Moraes,et al. HERMES: an infrastructure for low area overhead packet-switching networks on chip , 2004, Integr..
[41] Eckhard Grass,et al. Globally Asynchronous, Locally Synchronous Circuits: Overview and Outlook , 2007, IEEE Design & Test of Computers.
[42] Radu Marculescu,et al. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches , 2007, TODE.
[43] Sriram R. Vangal,et al. A 5-GHz Mesh Interconnect for a Teraflops Processor , 2007, IEEE Micro.
[44] Jochen A. G. Jess,et al. Prophid: A Platform-Based Design Method , 2000, Des. Autom. Embed. Syst..
[45] Rudy Lauwereins,et al. Network-on-Chip for Reconfigurable Systems: From High-Level Design Down to Implementation , 2004, FPL.
[46] T. F. Chen,et al. Segmented bus design for low-power systems , 1999, IEEE Trans. Very Large Scale Integr. Syst..
[47] Axel Jantsch,et al. Interconnect-Centric Design for Advanced SOC and NOC , 2010 .
[48] Kees G. W. Goossens,et al. An on-chip interconnect and protocol stack for multiple communication paradigms and programming models , 2009, CODES+ISSS '09.
[49] Gang Liu,et al. A*Prune: an algorithm for finding K shortest paths subject to multiple constraints , 2001, Proceedings IEEE INFOCOM 2001. Conference on Computer Communications. Twentieth Annual Joint Conference of the IEEE Computer and Communications Society (Cat. No.01CH37213).
[50] Axel Jantsch,et al. Models of Computation for Networks on Chip , 2006, Sixth International Conference on Application of Concurrency to System Design (ACSD'06).
[51] Radu Marculescu,et al. QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[52] Radu Marculescu,et al. Key research problems in NoC design: a holistic perspective , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[53] Kees G. W. Goossens,et al. Trade-offs in the Configuration of a Network on Chip for Multiple Use-Cases , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[54] Giovanni De Micheli,et al. A complete network-on-chip emulation framework , 2005, Design, Automation and Test in Europe.
[55] Russell Tessier,et al. ASOC: a scalable, single-chip communications architecture , 2000, Proceedings 2000 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00622).
[56] Massimo Ruo Roch,et al. A Case Study for NoC-Based Homogeneous MPSoC Architectures , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[57] Fabien Clermidy,et al. An asynchronous NOC architecture providing low latency service and its multi-level design framework , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[58] Luca Benini,et al. Networks on Chips : A New SoC Paradigm , 2022 .
[59] Radu Marculescu,et al. System-level power/performance analysis for embedded systems design , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[60] Alberto L. Sangiovanni-Vincentelli,et al. Efficient synthesis of networks on chip , 2003, Proceedings 21st International Conference on Computer Design.
[61] Luca Fanucci,et al. Skew Insensitive Physical Links for Network on Chip , 2006, 2006 1st International Conference on Nano-Networks and Workshops.
[62] Christian Bernard,et al. A 477mW NoC-based digital baseband for MIMO 4G SDR , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[63] Luca Benini,et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip , 2005, IEEE Transactions on Parallel and Distributed Systems.
[64] Gerben Essink,et al. Dynamic reconfiguration of streaming graphs on a heterogeneous multiprocessor architecture , 2005, IS&T/SPIE Electronic Imaging.
[65] Antoine Fraboulet,et al. Automatic phase detection for stochastic on-chip traffic generation , 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).
[66] Gerard J. M. Smit,et al. An energy-efficient reconfigurable circuit-switched network-on-chip , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.
[67] A. Kurosawa,et al. Integration architecture for system-on-a-chip design , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).
[68] Nikolay Kavaldjiev,et al. A run-time reconfigurable Network-on-Chip for streaming DSP applications , 2006 .
[69] Alain Greiner,et al. A Low Cost Network-on-Chip with Guaranteed Service Well Suited to the GALS Approach , 2006, 2006 1st International Conference on Nano-Networks and Workshops.
[70] Kees G. W. Goossens,et al. A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification , 2005, Design, Automation and Test in Europe.
[71] Kees G. W. Goossens,et al. Enabling application-level performance guarantees in network-based systems on chip by applying dataflow analysis , 2009, IET Comput. Digit. Tech..
[72] Om Prakash Gangwal,et al. An efficient on-chip NI offering guaranteed services, shared-memory abstraction, and flexible network configuration , 2005 .
[73] Srinivasan Murali,et al. Bringing NoCs to 65nm , 2007 .
[74] Ion Stoica,et al. Providing guaranteed services without per flow management , 1999, SIGCOMM '99.
[75] Luca Benini,et al. ×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip , 2004, DATE.
[76] Kees Goossens,et al. Chapter 15 INTERCONNECT AND MEMORY ORGANIZATION IN SOCS FOR ADVANCED SET-TOP BOXES AND TV Evolution, Analysis, and Trends , 2005 .
[77] Kees G. W. Goossens,et al. A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
[78] Jens Sparsø,et al. Scheduling discipline for latency and bandwidth guarantees in asynchronous network-on-chip , 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.
[79] Jens Sparsø,et al. A Scalable, Timing-Safe, Network-on-Chip Architecture with an Integrated Clock Distribution Method , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[80] Luca Benini. Application Specific NoC Design , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[81] Ran Ginosar,et al. QNoC: QoS architecture and design process for network on chip , 2004, J. Syst. Archit..
[82] Axel Jantsch,et al. Guaranteed bandwidth using looped containers in temporally disjoint networks within the nostrum network on chip , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.