Modeling and Analysis of Magnetic Field Induced Coupling on Embedded STT-MRAM Arrays

Spin transfer torque magnetic random access memory (STT-MRAM) is an emerging memory technology which exhibits nonvolatility, high density, high endurance, and nano-second read and write times. These characteristics make STT-MRAM suitable for last-level cache and other embedded applications. The STT-MRAM bit-cell consists of a magnetic tunnel junction (MTJ) which is composed of two ferromagnetic layers (free and fixed layers) and one insulating layer in between. As STT-MRAM arrays become denser to meet cost and requirements of high performance computing, the distance between adjacent MTJ bits reduces. This aggravates the magnetic coupling from free and fixed layer of one MTJ bit to its neighbors. Even though magnetic coupling is expected to become stronger as MTJ scales down, its impacts on static and dynamic properties on MTJ is relatively unexplored. In this paper, we present a model of the magnetic field coupling in high-density MTJ arrays for three different types of MTJ stacks and evaluate the effect of magnetic field induced coupling on static and dynamic properties. Lastly, we show how process induced variations in MTJ characteristics affect the magnitude of magnetic coupling and their effect on the electrical characteristics of the STT-RAM arrays.

[1]  Mircea R. Stan,et al.  Advances and Future Prospects of Spin-Transfer Torque Random Access Memory , 2010, IEEE Transactions on Magnetics.

[2]  Hui Zhao,et al.  Scaling analysis of in-plane and perpendicular anisotropy magnetic tunnel junctions using a physics-based model , 2014, 72nd Device Research Conference.

[3]  Albert Fert,et al.  Origin, development, and future of spintronics (Nobel Lecture). , 2008, Angewandte Chemie.

[4]  Takayuki Kawahara,et al.  Spin-transfer torque RAM technology: Review and prospect , 2012, Microelectron. Reliab..

[5]  H. Ohno,et al.  A perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction. , 2010, Nature materials.

[6]  M. Hosomi,et al.  A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[7]  Yiming Huai,et al.  Spin-Transfer Torque MRAM (STT-MRAM): Challenges and Prospects , 2008 .

[8]  A. Fert,et al.  The emergence of spin electronics in data storage. , 2007, Nature materials.

[9]  Chris H. Kim,et al.  A technology-agnostic MTJ SPICE model with user-defined dimensions for STT-MRAM scalability studies , 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

[10]  Yiran Chen,et al.  The Prospect of STT-RAM Scaling From Readability Perspective , 2012, IEEE Transactions on Magnetics.

[11]  H. Ohno,et al.  Comprehensive study of CoFeB-MgO magnetic tunnel junction characteristics with single- and double-interface scaling down to 1X nm , 2013, 2013 IEEE International Electron Devices Meeting.

[12]  Arijit Raychowdhury,et al.  Design space and scalability exploration of 1T-1STT MTJ memory arrays in the presence of variability and disturbances , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[13]  Ad J. van de Goor,et al.  Disturb neighborhood pattern sensitive fault , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[14]  Y. J. Lee,et al.  Extended scalability of perpendicular STT-MRAM towards sub-20nm MTJ node , 2011, 2011 International Electron Devices Meeting.

[15]  Y. J. Lee,et al.  Enhancement of data retention and write current scaling for sub-20nm STT-MRAM by utilizing dual interfaces for perpendicular magnetic anisotropy , 2012, 2012 Symposium on VLSI Technology (VLSIT).

[16]  Mohamad Towfik Krounbi,et al.  Erratum: Basic principles of STT-MRAM cell operation in memory arrays , 2013 .

[17]  K. Roy,et al.  Numerical analysis of typical STT-MTJ stacks for 1T-1R memory arrays , 2010, 2010 International Electron Devices Meeting.

[18]  Yiran Chen,et al.  Design Margin Exploration of Spin-Transfer Torque RAM (STT-RAM) in Scaled Technologies , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[19]  Hui Zhao,et al.  A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory , 2013, IEEE Journal of Solid-State Circuits.

[20]  Youguang Zhang,et al.  Reconfigurable Codesign of STT-MRAM Under Process Variations in Deeply Scaled Technology , 2015, IEEE Transactions on Electron Devices.

[21]  Y. Suzuki,et al.  Future prospects of MRAM technologies , 2013, 2013 IEEE International Electron Devices Meeting.

[22]  Mohamad Towfik Krounbi,et al.  Basic principles of STT-MRAM cell operation in memory arrays , 2013 .

[23]  Jonathan Z. Sun Spin-current interaction with a monodomain magnetic body: A model study , 2000 .

[24]  Youguang Zhang,et al.  Yield and Reliability Improvement Techniques for Emerging Nonvolatile STT-MRAM , 2015, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[25]  K. Roy,et al.  Physics-Based SPICE-Compatible Compact Model for Simulating Hybrid MTJ/CMOS Circuits , 2013, IEEE Transactions on Electron Devices.

[26]  Arijit Raychowdhury,et al.  EMACS: Efficient MBIST architecture for test and characterization of STT-MRAM arrays , 2016, 2016 IEEE International Test Conference (ITC).