Design and Analysis of a Delay Sensor Applicable to Process/Environmental Variations and Aging Measurements

With technology scaling, the deviation between predicted path delay using simulation and actual path delay on silicon increases due to process variation and aging. Hence, on-chip measurement architectures are now widely used due to their higher accuracy and lower cost compared to using external expensive measurement devices. In this paper, a novel path-delay measurement architecture called path-based ring oscillator (Path-RO) which takes into account variations is proposed. Path-RO can perform accurate on-chip path-delay measurement with nearly no impact on functional data path. At the same time, process variations will not affect the measurement accuracy. The accuracy degradation due to aging is also negligible, which enables Path-RO to monitor path delay throughout aging process. This delay sensor is perfectly suitable for fast and accurate speed binning as well. By targeting speed paths, the speed of chip can be binned efficiently even in presence of clock skew. Various simulation results collected by Path-RO inserted into b19 circuit demonstrate its high accuracy and efficiency.

[1]  K. Arabi,et al.  Dynamic digital integrated circuit testing using oscillation-test method , 1998 .

[2]  Swarup Bhunia,et al.  Low-overhead design technique for calibration of maximum frequency at multiple operating points , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[3]  Magdy S. Abadir,et al.  Oscillation Ring Delay Test for High Performance Microprocessors , 2000, J. Electron. Test..

[4]  G. Bersuker,et al.  New Hot-Carrier Injection Mechanism at Source Side in Nanoscale Floating-Body MOSFETs , 2009, IEEE Electron Device Letters.

[5]  T. Nigam,et al.  Lifetime Enhancement under High Frequency NBTI measured on Ring Oscillators , 2006, 2006 IEEE International Reliability Physics Symposium Proceedings.

[6]  Mehrdad Nourani,et al.  Testing On-Die Process Variation in Nanometer VLSI , 2006, IEEE Design & Test of Computers.

[7]  Ming-Fu Li,et al.  Understand NBTI Mechanism by Developing Novel Measurement Techniques , 2008, IEEE Transactions on Device and Materials Reliability.

[8]  Jacob A. Abraham,et al.  On-chip delay measurement for silicon debug , 2004, GLSVLSI '04.

[9]  Kevin J. Nowka,et al.  A scheme for on-chip timing characterization , 2006, 24th IEEE VLSI Test Symposium.

[10]  John Keane,et al.  An On-Chip NBTI Sensor for Measuring pMOS Threshold Voltage Degradation , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Alfred L. Crouch,et al.  A Production IR-Drop Screen on a Chip , 2007, IEEE Design & Test of Computers.

[12]  Ming-Chien Tsai,et al.  An All-Digital High-Precision Built-In Delay Time Measurement Circuit , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[13]  Mark Mohammad Tehranipoor,et al.  Path-RO: A novel on-chip critical path delay measurement under process variations , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[14]  Kenneth M. Butler,et al.  Facilitating rapid first silicon debug , 2002, Proceedings. International Test Conference.

[15]  Kaushik Roy,et al.  A Novel Delay Fault Testing Methodology Using Low-Overhead Built-In Delay Sensor , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  C. Cabral,et al.  A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..

[17]  Ching-Te Chuang,et al.  On-Chip Process Variation Detection and Compensation Using Delay and Slew-Rate Monitoring Circuits , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[18]  Gordon W. Roberts,et al.  A synthesizable, fast and high-resolution timing measurement device using a component-invariant vernier delay line , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[19]  Sani R. Nassif,et al.  Design for Manufacturability and Statistical Design - A Constructive Approach , 2007, Series on integrated circuits and systems.

[20]  Kaushik Roy,et al.  A novel on-chip delay measurement hardware for efficient speed-binning , 2005, 11th IEEE International On-Line Testing Symposium.

[21]  G. Declerck A look into the future of nanoelectronics , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[22]  Mark Mohammad Tehranipoor,et al.  A novel architecture for on-chip path delay measurement , 2009, 2009 International Test Conference.

[23]  Yu Cao,et al.  Modeling and minimization of PMOS NBTI effect for robust nanometer design , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[24]  M.B. Ketchen,et al.  Ring oscillators for CMOS process tuning and variability control , 2006, IEEE Transactions on Semiconductor Manufacturing.