Applying Decay to Reduce Dynamic Power in Set-Associative Caches
暂无分享,去创建一个
[1] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[2] T. Mudge,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.
[3] Krste Asanovic,et al. Fine-grain CAM-tag cache resizing using miss tags , 2002, ISLPED '02.
[4] Norman P. Jouppi,et al. CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.
[5] Kazuaki Murakami,et al. Way-predicting set-associative cache for high performance and low energy consumption , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[6] Burton H. Bloom,et al. Space/time trade-offs in hash coding with allowable errors , 1970, CACM.
[7] Stefanos Kaxi. A Simple Mechanism to Adapt Leakage-Control Policies to Temperature , 2005 .
[8] Dirk Grunwald,et al. Predictive sequential associative cache , 1996, Proceedings. Second International Symposium on High-Performance Computer Architecture.
[9] Chenxi Zhang,et al. Two fast and high-associativity cache schemes , 1997, IEEE Micro.
[10] Xiaodong Zhang,et al. Access-Mode Predictions for Low-Power Cache Design , 2002, IEEE Micro.
[11] Simha Sethumadhavan,et al. Scalable Hardware Memory Disambiguation for High-ILP Processors , 2004, IEEE Micro.
[12] Wen-Hann Wang,et al. On the inclusion properties for multi-level cache hierarchies , 1988, ISCA '88.
[13] Zeshan Chishti,et al. Wire delay is not a problem for SMT (in the near future) , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[14] Kaushik Roy,et al. An integrated circuit/architecture approach to reducing leakage in deep-submicron high-performance I-caches , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[15] Narayanan Vijaykrishnan,et al. Analyzing soft errors in leakage optimized SRAM design , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..
[16] Kevin Skadron,et al. State-preserving vs. non-state-preserving leakage control in caches , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[17] T. N. Vijaykumar,et al. Reactive-associative caches , 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.
[18] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[19] Frank Vahid,et al. A way-halting cache for low-energy high-performance systems , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[20] Kaushik Roy,et al. Reducing set-associative cache energy via way-prediction and selective direct-mapping , 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.
[21] Wen-Ben Jone,et al. Location cache: a low-power L2 cache system , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[22] Stefanos Kaxiras,et al. 4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[23] Margaret Martonosi,et al. Managing leakage for transient data: decay and quasi-static 4T memory cells , 2002, ISLPED '02.
[24] Kevin Skadron,et al. Adaptive Cache Decay using Formal Feedback Control , 2002 .
[25] Eric Rotenberg,et al. Adaptive mode control: A static-power-efficient cache design , 2003, TECS.