Steep slope devices: Enabling new architectural paradigms
暂无分享,去创建一个
Narayanan Vijaykrishnan | Xueqing Li | Huichu Liu | Jack Sampson | Moon Seok Kim | Karthik Swaminathan
[1] S. Datta,et al. Electrical Noise in Heterojunction Interband Tunnel FETs , 2014, IEEE Transactions on Electron Devices.
[2] Adrian M. Ionescu,et al. 3D heterogeneous integration for novel functionality , 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).
[3] Peter M. Asbeck,et al. Near zero turn-on voltage high-efficiency UHF RFID rectifier in silicon-on-sapphire CMOS , 2010, 2010 IEEE Radio Frequency Integrated Circuits Symposium.
[4] Pradip Bose,et al. 3D stacking of high-performance processors , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[5] Saibal Mukhopadhyay,et al. Exploring Tunnel-FET for ultra low power analog applications: A case study on operational transconductance amplifier , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
[6] M. D. Giles,et al. Process Technology Variation , 2011, IEEE Transactions on Electron Devices.
[7] Narayanan Vijaykrishnan,et al. Modeling steep slope devices: From circuits to architectures , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[8] Narayanan Vijaykrishnan,et al. Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design , 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.
[9] S. Datta,et al. Flicker noise characterization and analytical modeling of homo and hetero-junction III–V tunnel FETs , 2012, 70th Device Research Conference.
[10] Gérard Ghibaudo,et al. Electrical noise and RTS fluctuations in advanced CMOS devices , 2002, Microelectron. Reliab..
[11] Antonio J. Garcia-Loureiro,et al. Random Dopant, Line-Edge Roughness, and Gate Workfunction Variability in a Nano InGaAs FinFET , 2014, IEEE Transactions on Electron Devices.
[12] Narayanan Vijaykrishnan,et al. An examination of the architecture and system-level tradeoffs of employing steep slope devices in 3D CMPs , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[13] Eric Rotenberg,et al. FabScalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).
[14] T. Mayer,et al. Experimental demonstration of 100nm channel length In0.53Ga0.47As-based vertical inter-band tunnel field effect transistors (TFETs) for ultra low-power logic and SRAM applications , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).
[15] Dmitri E. Nikonov,et al. Energy efficiency comparison of nanowire heterojunction TFET and Si MOSFET at Lg=13nm, including P-TFET and variation considerations , 2013, 2013 IEEE International Electron Devices Meeting.
[16] Yuan Xie,et al. Cost-effective integration of three-dimensional (3D) ICs emphasizing testing cost analysis , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[17] Hiroshi Sasaki,et al. Performance evaluation of 3D stacked multi-core processors with temperature consideration , 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.
[18] Chita R. Das,et al. Cost-driven 3D integration with interconnect layers , 2010, Design Automation Conference.
[19] Qin Zhang,et al. Low-Voltage Tunnel Transistors for Beyond CMOS Logic , 2010, Proceedings of the IEEE.
[20] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[21] Lieven Eeckhout,et al. Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).
[22] David Blaauw,et al. Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs) , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[23] Narayanan Vijaykrishnan,et al. Tunnel FET-based ultra-low power, high-sensitivity UHF RFID rectifier , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[24] Indranil Palit,et al. TFET-based cellular neural network architectures , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[25] Jin He,et al. A compact model for double-gate tunneling field-effect-transistors and its implications on circuit behaviors , 2012, 2012 International Electron Devices Meeting.
[26] Ching-Te Chuang,et al. Analysis of Single-Trap-Induced Random Telegraph Noise and its Interaction With Work Function Variation for Tunnel FET , 2013, IEEE Transactions on Electron Devices.
[27] Mahmut T. Kandemir,et al. Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores , 2012, CODES+ISSS '12.
[28] Narayanan Vijaykrishnan,et al. An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).