Energy-Efficient Design of High-Speed Links

Techniques for reducing power consumption and bandwidth limitations of inter-chip communication have been getting more attention to improve the performance of modern digital systems. This chapter begins with a brief overview of high-speed link design and describes some of the power vs. performance trade-offs associated with various design choices. The chapter then investigates various techniques that a designer may employ to reduce power consumption. Three examples of link designs and link building blocks found in the literature present energy-efficient implementations of these techniques.

[1]  T.H. Lee,et al.  Oscillator phase noise: a tutorial , 1999, IEEE Journal of Solid-State Circuits.

[2]  M. Horowitz,et al.  An eight channel 35 GSample/s CMOS timing analyzer , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[3]  M.A. Horowitz,et al.  A variable-frequency parallel I/O interface with adaptive power-supply regulation , 2000, IEEE Journal of Solid-State Circuits.

[4]  Mark Horowitz,et al.  A 2.4 Gb/s/pin simultaneous bidirectional parallel link with per pin skew compensation , 2000 .

[5]  Dan Dobberpuhl The design of a high performance low power microprocessor , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[6]  J. Wei,et al.  A 660 MB/s interface megacell portable circuit in 0.3 /spl mu/m-0.7 /spl mu/m CMOS ASIC , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[7]  J. Wei,et al.  A 0.4-4 Gb/s CMOS quad transceiver cell using on-chip regulated dual-loop PLLs , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[8]  E. L. Hudson,et al.  A variable delay line PLL for CPU-coprocessor synchronization , 1988 .

[9]  A. Chandrakasan,et al.  An efficient controller for variable supply-voltage low power processing , 1996, 1996 Symposium on VLSI Circuits. Digest of Technical Papers.

[10]  Floyd M. Gardner Frequency granularity in digital phaselock loops , 1996, IEEE Trans. Commun..

[11]  Anantha P. Chandrakasan,et al.  Data driven signal processing: an approach for energy efficient computing , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[12]  Chih Yang,et al.  Design of high-speed serial links in CMOS , 1998 .

[13]  F. Sano,et al.  A 300 MIPS/W RISC core processor with variable supply-voltage scheme in variable threshold-voltage CMOS , 1997, Proceedings of CICC 97 - Custom Integrated Circuits Conference.

[14]  Gu-Yeon Wei,et al.  A fully digital, energy-efficient, adaptive power-supply regulator , 1999 .

[15]  William J. Dally,et al.  Digital systems engineering , 1998 .

[16]  Mark Horowitz,et al.  High-speed electrical signaling: overview and limitations , 1998, IEEE Micro.

[17]  Jaeha Kim,et al.  Adaptive supply serial links with sub-1 V operation and per-pin clock recovery , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[18]  Chuan Yi Tang,et al.  A 2.|E|-Bit Distributed Algorithm for the Directed Euler Trail Problem , 1993, Inf. Process. Lett..

[19]  T. Knight,et al.  Automatic impedance control , 1993, 1993 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[20]  Chih-Kong Ken Yang,et al.  Jitter optimization based on phase-locked loop design parameters , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[21]  Mark Horowitz,et al.  A 700-Mb/s/pin CMOS signaling interface using current integrating receivers , 1997 .

[22]  F. Gardner,et al.  Charge-Pump Phase-Lock Loops , 1980, IEEE Trans. Commun..

[23]  R.W. Brodersen,et al.  A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.

[24]  Mark Horowitz,et al.  Interconnect scaling implications for CAD , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[25]  Mark Horowitz,et al.  A low power switching power supply for self-clocked systems , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

[26]  M. Horowitz,et al.  Adaptive bandwidth DLLs and PLLs using regulated supply CMOS buffers , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[27]  C. Schulien,et al.  A 1:4 demultiplexer for 40 Gb/s fiber-optic applications , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[28]  Chih-Kong Ken Yang,et al.  A Cmos 500 Mbps/pin Synchronous Point to Point Link Interface , 1994, Proceedings of 1994 IEEE Symposium on VLSI Circuits.

[29]  Richard C. Walker,et al.  A two-chip 1.5-GBd serial link interface , 1992 .

[30]  W.J. Dally,et al.  An 84-mW 4-Gb/s clock and data recovery circuit for serial link applications , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[31]  A. Sabanovic,et al.  Sliding modes in electrical machines control systems , 1993, ISIE '93 - Budapest: IEEE International Symposium on Industrial Electronics Conference Proceedings.

[32]  C.W. Werner,et al.  A 2 Gb/s/pin 4-PAM parallel bus interface with transmit crosstalk cancellation, equalization, and integrating receivers , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[33]  J.G. Maneatis,et al.  Low-jitter and process independent DLL and PLL based on self biased techniques , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[34]  Anantha P. Chandrakasan,et al.  Low Power Digital CMOS Design , 1995 .

[35]  T. Lin,et al.  A scalable 32 Gb/s parallel data transceiver with on-chip timing calibration circuits , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[36]  P. Schvan,et al.  A fully integrated SiGe receiver IC for 10 Gb/s data rate , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[37]  W.J. Dally,et al.  Low-power area-efficient high-speed I/O circuit techniques , 2000, IEEE Journal of Solid-State Circuits.

[38]  L. Geppert,et al.  Transmeta's magic show [microprocessor chips] , 2000 .

[39]  L. S. Nielsen,et al.  Low-power operation using self-timed circuits and adaptive scaling of the supply voltage , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[40]  Stefanos Sidiropoulos,et al.  A semidigital dual delay-locked loop , 1997 .

[41]  P. Larsson,et al.  Measurements and analysis of PLL jitter caused by digital switching noise , 2001, Proceedings of the 26th European Solid-State Circuits Conference.

[42]  W.J. Dally,et al.  Transmitter equalization for 4-Gbps signaling , 1997, IEEE Micro.

[43]  Behzad Razavi A Fully Integrated SiGe Receiver IC for 10Gb/s Data Rate , 2003 .

[44]  M. Horowitz,et al.  Precise delay generation using coupled oscillators , 1993, 1993 IEEE International Solid-State Circuits Conference Digest of Technical Papers.