Extracting precise diagnosis of bridging faults from stuck-at fault information

Although the stuck-at fault model is the standard fault model. the frequently occurring faults in some technologies arc unintentional shorts, denoted as bridging faults. We outline a method that utilizes the information from the stuck-at fault model to accurately diagnose the bridging faults that affect two lines. The proposed method exploits the observation that the bridging fault response matches the stuck-at fault responses on the shorted lines for the failing test vectors and generates a candidate list that accounts for all failures. A further reduction in the size of the candidate set is achieved by extracting information from the test vectors that do not fail. The proposed method uses no layout information whatsoever. Nonetheless, the experimental results indicate that the bridging faults can be accurately diagnosed delivering a reduction in the sizes of the ambiguity sets and full capture of the offending bridging fault.

[1]  W. Kent Fuchs,et al.  Diagnosis of bridging faults in sequential circuits using adaptive simulation, state storage, and path-tracing , 1997, Proceedings International Test Conference 1997.

[2]  John M. Acken,et al.  Fault Model Evolution For Diagnosis: Accuracy vs Precision , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[3]  F. Brglez,et al.  A neutral netlist of 10 combinational benchmark circuits and a target translator in FORTRAN , 1985 .

[4]  Leendert M. Huisman,et al.  Diagnosing combinational logic designs using the single location at-a-time (SLAT) paradigm , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[5]  Robert C. Aitken Finding defects with fault models , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

[6]  Siyad C. Ma,et al.  A comparison of bridging fault simulation methods , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[7]  Tracy Larrabee,et al.  Diagnosing realistic bridging faults with single stuck-at information , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[8]  Sreejit Chakravarty,et al.  Voting model based diagnosis of bridging faults in combinational circuits , 1995, Proceedings of the 8th International Conference on VLSI Design.

[9]  B. Chess,et al.  Diagnosis of realistic bridging faults with single stuck-at information , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[10]  David Bryan,et al.  Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.

[11]  S. D. Millman,et al.  Accurate modeling and simulation of bridging faults , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.

[12]  Sarita Thakar,et al.  On the generation of test patterns for combinational circuits , 1993 .

[13]  W. Kent Fuchs,et al.  A deductive technique for diagnosis of bridging faults , 1997, ICCAD 1997.

[14]  Kenneth R. Bowden,et al.  The Modern Fault Dictionary , 1985, ITC.

[15]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[16]  S.D. Millman,et al.  Diagnosing CMOS bridging faults with stuck-at fault dictionaries , 1990, Proceedings. International Test Conference 1990.

[17]  Arun Gunda,et al.  Failure analysis for full-scan circuits , 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

[18]  Sreejit Chakravarty,et al.  An Algorithm for Diagnosing Two-Line Bridging Faults in Combinational Circuits , 1993, 30th ACM/IEEE Design Automation Conference.

[19]  Wojciech Maly,et al.  Physically realistic fault models for analog CMOS neural networks , 1991 .

[20]  H. K. Lee,et al.  HOPE: an efficient parallel fault simulator , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.