An automated methodology to fix electromigration violations on a customized design flow

Electromigration (EM) effects have been a high concern in IC power delivery networks design. As the integrated circuits dimensions become smaller and smaller, those effects become a critical reliability failure mechanism on signal nets and they are known as AC electromigration. The EM problem is not only a reliability matter but it compromises the IC performance, accelerates the aging effects and reduces its lifetime. Most commercial design tools allow to analyze and prevent EM effects. Yet, fixing EM issues demands a considerable charge of handwork. This work follows a previous study done at our group, where a methodology to fix electromigration issues was tested and the corrections where applied manually into a customized design flow. That methodology showed an improvement going from 33% to 83% on the distribution of current density through critical signal interconnections. Now, for the best using of this technique, it is proposed a methodology that automates the electromigration fixing flow, without manual interventions. The basis of the method is to gather the critical nets suffering from high current densities, give them a weight based on their dimensions and the current density and to use integer linear programming (ILP) to calculate the necessary dimension of the nets. So, it can mitigate the electromigration effects for a given mean time to failure (MTTF).

[1]  Jens Lienig,et al.  Electromigration and its impact on physical design in future technologies , 2013, ISPD '13.

[2]  Sachin S. Sapatnekar,et al.  Analyzing the electromigration effects on different metal layers and different wire lengths , 2014, 2014 21st IEEE International Conference on Electronics, Circuits and Systems (ICECS).

[3]  Sachin S. Sapatnekar,et al.  A systematic approach for analyzing and optimizing cell-internal signal electromigration , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  Geetha Rangarajan – Synopsys Addressing signal electromigration ( EM ) in today ’ s complex digital designs , 2017 .

[5]  Narayanan Vijaykrishnan,et al.  Mitigating electromigration of power supply networks using bidirectional current stress , 2012, GLSVLSI '12.

[6]  Wei Huang,et al.  Some Limits of Power Delivery in the Multicore Era , 2012 .

[7]  Gracieli Posser,et al.  Electromigration aware circuits by using special signal non-default routing rules , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

[8]  Jiang Tao,et al.  Modeling and characterization of electromigration failures under bidirectional current stress , 1996 .

[9]  Yi-Lung Wang,et al.  Electromigration characteristics of copper dual damascene interconnects - line length and via number dependence , 2009, 2009 16th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits.

[10]  S. S. Sapatnekar What happens when circuits grow old: Aging issues in CMOS design , 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

[11]  Andrew B. Kahng,et al.  On potential design impacts of electromigration awareness , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[12]  Bradley Geden,et al.  Understand and Avoid Electromigration (EM) & IR-drop in Custom IP Blocks , 2012 .