A discrete thermal controller for chip-multiprocessors

As the power density of modern processors keeps increasing, thermal management remains a challenging problem for processor designers. Among various solutions, closed-loop automatic thermal controllers have the benefits of fast response speed and high control accuracy. However, as a processor is a discrete system by nature, controllers designed by classic control theories fail to consider the system features related to the discreteness and thus cannot achieve optimal result. In this work, we propose a discrete thermal controller with the form of the digital filter with special concern about the frequency field response affected by the sampling process. We optimize the sampling period and the response time of the controller. Experimental results show up to 50% sampling frequency reduction and up to 25% improvement in the performance of CMP systems with thermal constraints when compared to other state-of-the-art closed-loop thermal controllers.

[1]  Jörg Henkel,et al.  TAPE: Thermal-aware agent-based power econom multi/many-core architectures , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[2]  Kevin Skadron,et al.  Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[3]  Chenyang Lu,et al.  Feedback thermal control of real-time systems on multicore processors , 2012, EMSOFT '12.

[4]  Benjamin C. Kuo,et al.  AUTOMATIC CONTROL SYSTEMS , 1962, Universum:Technical sciences.

[5]  Andrew B. Kahng The ITRS design technology and system drivers roadmap: Process and status , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Somayeh Sardashti,et al.  The gem5 simulator , 2011, CARN.

[7]  Alan V. Oppenheim,et al.  Signals & systems (2nd ed.) , 1996 .

[8]  Heba Khdr,et al.  New trends in dark silicon , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[9]  A. J. Jerri The Shannon sampling theorem—Its various extensions and applications: A tutorial review , 1977, Proceedings of the IEEE.

[10]  Lizy Kurian John,et al.  Complete System Power Estimation Using Processor Performance Events , 2012, IEEE Transactions on Computers.

[11]  David Atienza,et al.  Energy-Efficient Multiobjective Thermal Control for Liquid-Cooled 3-D Stacked Architectures , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[12]  Kyriakos Stavrou,et al.  Thermal-Aware Scheduling: A Solution for Future Chip Multiprocessors Thermal Problems , 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

[13]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[14]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[15]  Heba Khdr,et al.  mDTM: Multi-objective dynamic thermal management for on-chip systems , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[16]  Jörg Henkel,et al.  TAPE: thermal-aware agent-based power economy for multi/many-core architectures , 2009, ICCAD '09.

[17]  Sanjay Ranka,et al.  An overview and classification of thermal-aware scheduling techniques for multi-core processing systems , 2012, Sustain. Comput. Informatics Syst..

[18]  Michael E. Flatté,et al.  Challenges for semiconductor spintronics , 2007 .

[19]  Kai Ma,et al.  Temperature-constrained power control for chip multiprocessors with online model estimation , 2009, ISCA '09.

[20]  Jun Yang,et al.  Thermal-Aware Task Scheduling for 3D Multicore Processors , 2010, IEEE Transactions on Parallel and Distributed Systems.